PCB设计高速走线原则

PCB设计高速走线原则
PCB设计高速走线原则

高速走线指南(Creating High-speed Traces)

PADS Router 包含的高速走线功能模块可以使你对高速部分的设计做很好的规划和控制。

在该课程中

·控制走线长度

·蛇形走线

·差分走线

·导航窗口的图形回馈

·等长线规则

·设置元件高级规则

限制:

该指南需要动态走线编辑(Dynamic Route Editing),扩展规则(Extended Rules)

和基本编辑功能(General Editing),高速走线(High-speed Routing) 和走线安全模块(Route Security) ,你可以通过Help>Installed Options来查看你是否有这些模块可

以操作。

准备

在PADS 安装路径下的Samples 目录中打开preview.pcb文件。

控制走线长度(Trace Length Monitor)

走线长度控制器用来帮助你控制走线的长度。当你将走线长度控制器打开

后,走线长度信息成为走线时光标的一部分显示出来,这样可以很好的控制走线

的长度。

有两种方式可以用来控制走线长度,一种是不通过走线规则来控制长度,另

一种就是通过走线规则来控制。该课程中我们将学习在走线规则打开状态下对走

线长度进行控制。

打开走线规则

选项>General

在Option>General 中选择Length monitor或快捷键Ctrl+L打开长度控制器。

打开长度控制器

1、Pointer setting 中选择Length monitor打开长度控制器。

2、在高亮对象显示区域,区分高亮目录下选择Turning off highlighting

3、OK完成设置。

置走线规则

在你使用长度控制器之前,要先设置一个走线规则,该课程中,你将学习在对象浏览器中的对象查看窗口(Object View) 进行走线规则设置。

1、在对象浏览器中选中Object View。

2、展开Object View目录。

3、展开目录中的Nets ,显示设计中所有网络。

4、在nets 目录中选择CLKIN。不要将其展开,可以看到该网络在工作区域被高亮。

5、右键选择Properties。

6、在属性编辑窗口中选择Length 栏,点选Restrict length 打开长度规则。

7、在最小长度栏中填入1000,那么在完成CLKIN这个网络连接时,走线长度最小要有1 英寸长。

8、在最大长度栏中填入2000,那么在完成CLKIN这个网络连接时,走线长度最长只能有2 英寸。

9、OK完成设置。

现在你就完成了网络走线长度规则设置,当你走线的时候将遵守该长度设置,PADS Router

有几种方法去设置走线长度规则。

长度规则设置下的走线图形

无论什么时候你进行动态走线的时候(打开长度规则),走线长度管理器都会将走线规则数据作为走线时光标的一部分显示出来。在走线的时候可以看到在走线长度数据条上一个有5 个象素高的进程指示器,当走线长度变长时它会由左向右移动,当走线长度变短时,它由右向左移动。

颜色指示器

当长度规则设置后,在完成走线后可以在工作界面下方的数据表格中查看到走线数据,不同状态会由不同颜色显示。

颜色管理器

当你走线的时候可以通过走线管理器查看走线长度信息。

1、在未选择任何对象状态下鼠标右键选择Select Trace/Pins/Unroutes。

2、在标准工具栏中的层目录中选择Primary Component Side为当前操作层。

3、选择网络CLKIN,使其高亮。

4、选unroute撤消当前已完成的走线。

5、右键Interactive Route 或F3开始动态走线。

6、移动光标开始走线,注意查看走线长度管理器中的数值变化,这样可以实时控制走线长度。

注意:你可以发现随着走线长度的变化,在下方的表格窗口中相应的长度数据窗口颜色也在改变。

7、当连接完成时走线长度在规则范围内,点击鼠标左键完成走线。

蛇形走线(Add accordions)

当你在长度规则设置下开始走线的时候,有时需要通过蛇形走线以达到你所需的走线长度。

蛇形走线的振幅和间隙可以进行定义。振幅是表示蛇形走线的高度,间隙是指蛇形走线之间的距离。振幅和间隙在水平和垂直方向都可以调节。

设置蛇形走线的振幅和间隙

选项>Router

用以下方法设置默认蛇形走线参数:

1、在Router>Routing to length constraints 区域设置默认蛇形走线参数。

2、在振幅栏中将最小值设为10。结果:蛇形走线的振幅最小值被设置为走线宽度的10倍。

3、在间隙栏中将最小值设为3。

结果:蛇形走线的间隙最小值被设置为走线到拐角间距的3 倍。

4、选OK完成设置。

添加蛇形走线

添加蛇形走线通常在动态走线过程中才会用到,在动态走线过程中鼠标右键

选择Add Accordion

使用Add Accordion命令,那么在走线过程中,你每点击一次鼠标左键就添加一个蛇形线段。还可以通过鼠标在设计中的光标的位置选择来定义蛇形走线。完成蛇形走线定义后双击鼠标左键回到动态走线状态。

上图中的红色箭头表示蛇形走线被确定的位置

定义蛇行走线的起始位置

当你开始添加蛇行走线,你有几种方法去定义走线参数。当你使用默认参数

的时候,只要你确定了走线的起始位置,那么随着光标的移动将自动添加蛇行走

线。你只需在起始位置点击一下鼠标左键。

设置蛇行走线

1、选择CLKIN这个网络,右键Unroute撤消当前的走线。

2、在未选择任何对象状态下鼠标右键选择Select Trace/Pins/Unroutes。

3、在标准工具栏中的层目录中选择Primary Component Side为当前操作层。

4、右键Interactive Route 或F3开始对该网络走线。

注意:在增加蛇行走线的时候Ctrl+L查看走线长度。

5、走线过程中在板框开放区域增加一个新的拐角作为蛇行走线的起始点。

6、鼠标右键选择Add Accordion。

7、在你所需走线方向移动光标,PADS Router 开始按照默认参数来进行蛇行走线。

8、增加你所需要的蛇行走线后双击鼠标左键或鼠标右键选择Complete Accordion回到动态走线状态。

9、继续完成走线。

自定义蛇行走线

可以通过鼠标选择点来定义蛇行走线参数第一次左键选择走线起始点,

第二次确定蛇行线的振幅位置。

注意:此时设置的蛇行走线并不影响原先的默认参数。

差分走线(Differential pairs)

在高速设计中你可以使用到差分走线进行设计。要进行差分走线一定要了解相关的功能术语。

差分走线的目的是将差分信号的走线同时从源管脚走出,绕过障碍并同时进行走线。将差分信号连接到一个相同位置(称为集合点)既差分走线的起始点。差分走线最终在接近目标管脚位置分开(称为分开点)既差分走线的终点。

Shoulder Length (侧翼长度)

侧翼长度是指从起始元件脚到集合点的距离。

障碍

通常你是要将差分线绕过类似与元件脚和过孔等障碍对象。只有在起点和终点位置以外才能实现。

注意:走线过程中使用分开命令Split Trace Segments 或Shift+X,那么在动态走线的时候,

差分线可以自动绕过走线过程中的障碍对象。

进行差分走线

1、在对象查看窗口中Net Object。

2、将里面的Nets 项展开。

3、选中网络$$$7651, 该网络在工作区域高亮。

4、Ctrl+左键选中$$$7652。

5、将这两个网络复制到差分对分支中。

指定差分对规则

1、选中差分对$$$7651<->$$$7652。

2、右键选择Properties。

注意:你可以通过选中Differential Pair 中的Properties同时对所有差分对进行设置。

3、在对象间隙(gap)中填如8,那么在进行差分走线时,走线间隙8mils。

4、在长度区域,最小值设为1500。

5、在长度区域,最大值设为2500。

6、在障碍设置区域可以设置最大绕过障碍值。

7、OK完成设置。

开始走线

1、在未选择任何对象状态下鼠标右键选择Select Trace/Pins/Unroutes。

2、在标准工具栏中的层目录中选择Primary Component Side 为当前操作

层。

3、在对象浏览器中选中$$$7651<->$$$7652。

4、选择Unroute撤消当前走线。

5、右键Interactive Route 或F3开始对该网络走线。

6、移动光标。

7、从源管脚拉出走线,鼠标左键确定集合点。

8、沿着设计走线方向继续走线。

9、当走线到达目标管脚的时候鼠标右键Complete 。

单独走线

在差分走线过程中,有时候不能同时将两根走线绕过障碍对象,比如BGA,有时我们会对BGA进行单独规则设置,那这时候我们就要将差分走线分开单独进行走线。

1、选中差分对$$$7651<->$$$7652。

2、选择Unroute撤消当前走线。

3、选中$$$7651 或$$$7652。

4、右键Interactive Route 或F3开始对该网络走线。

5、走线过程中鼠标右键Route Separately 。

结果:其中一个走线被单独选中。

6、将该走线完成后,鼠标右键Switch Trace,对另一走线进行操作。

7、当两根走线都绕过障碍对象后再鼠标右键Route Separately ,完成单独走线操作。

8、在到达差分走线终点要将走线连接到目标管脚时,也需要鼠标右键Route Separately,将走线分别连到目标管脚上。

增加过孔

以上是在差分走线过程中可以选择增加过孔的类型。

1、接上个示例。

2、在走线过程中鼠标右键选择Via Pattern 选择过孔类型。

3、再鼠标右键选择Add Via。

4、继续在新的走线层走线。

5、到达目标管脚后Complete 自动完成差分走线操作。

导航窗口的图形回馈(Navigation Window)

导航窗口

当你按照长度规则进行走线的时候,可以看到导航窗口中只显示出当前网络的元件脚和走线。

当你在工作区域进行设计时,导航窗口会实时的显示你当前的操作。或所选中对象的信息

等长线规则(Matched Length Rules)

等长线用于对一组网络进行自动走线时的设置。你同时可以在下方的表格中

管理走线长度。

定义一组等长走线网络

在对象查看窗口中进行以下操作:

1、展开Nets Objects。

2、展开里面的Nets 项,在其目录中列出设计中所有的网络。

3、选中A00,其在工作区域被高亮。

4、Shift+鼠标左键选中A07。

5、将选中的nets 复制到Matched Length Net Groups中。

6、将该分支展开可以看到产生一组新的等长走线网络。结果:PADS Router 将其默认命名为MLNetGroup1。

7、将这组网络名称改为AddressBus 按Enter确定新名称。完成等长走线网络定义。

定义等长走线规则

1、选中等长走线网络组AddressBus 。

2、鼠标右键选择Properties。

3、在属性窗口中的公差栏中填入200 。在该组网络走线中最短走线和最长走线相差范围为200mils。

4、选择Restrict length 打开长度约束栏。

5、在最小长度栏中填入2500,那么走线最小值设定为2.5英寸。

6、在最大长度栏中填入3500,那么走线最大值设定为3.5英寸。

7、选OK完成等长走线规则设置。

长度管理器窗口中的长度数据栏随着走线的进行会实时的改变颜色。黄色为小于最小长度,绿色为在长度规则范围内,红色为超出最大走线长度。

设置元件高级规则(Components-level rules)

对元件规则进行设置

1、在对象查看窗口中展开元件分支列出设计中的所有元件。

2、选中元件U1。

3、鼠标右键Properties。选择 Clearance窗口。

4、选中窗口中左上角的All。

5、在弹出命令条中填入12后OK,所有间距值都被设置为12。

6、Apply 确认设置。

结果:所有的数值栏变为黄色。窗口上出现一个属性更改的图标。

7、选择Routing窗口,在走线宽度区域设置最小走线宽度为10,默认宽度为12,最大宽度为 15。那么所有连接到该 U1的走线都将遵守以上所设置的规则。

8、Apply 确认设置。

9、选择Pad Entry 窗口。

10、设置走线与焊盘的连接方式。

11 、选OK完成元件高级规则设置。

今天终于弄懂了PCB高速电路板设计的方法和技巧

[讨论]今天终于弄懂了PCB高速电路板设计的方法和技巧受益匪浅啊 电容, 最大功率, 技巧 高速电路设计技术阻抗匹配是指负载阻抗与激励源内部阻抗互相适配,并且得到最大功率输出的一种工作状态。高速PCB布线时,为了防止信号的反射,要求线路的阻抗为50Ω。这是个大约的数字,一般规定同轴电缆基带50Ω,频带75Ω,对绞线则为100Ω,只是取整数而已,为了匹配方便。根据具体的电路分析采用并行AC端接,使用电阻和电容网络作为端接阻抗,端接电阻R要小于等于传输线阻抗Z0,电容C必须大于100pF,推荐使用0.1UF的多层陶瓷电容。电容有阻低频、通高频的作用,因此电阻R不是驱动源的直流负载,故这种端接方式无任何直流功耗。 串扰是指当信号在传输线上传播时,因电磁耦合对相邻的传输线产生不期望的电压噪声干扰。耦合分为容性耦合和感性耦合,过大的串扰可能引起电路的误触发,导致系统无法正常工作。根据串扰的一些特性,可以归纳出几种减小串扰的方法: 1、加大线间距,减小平行长度,必要时采用jog 方式布线。 2、高速信号线在满足条件的情况下,加入端接匹配可以减小或消除反射,从而减小串扰。 3、对于微带传输线和带状传输线,将走线高度限制在高于地线平面范围要求以内,可以显著减小串扰。 4、在布线空间允许的条件下,在串扰较严重的两条线之间插入一条地线,可以起到隔离的作用,从而减小串扰。传统的PCB设计由于缺乏高速分析和仿真指导,信号的质量无法得到保证,而且大部分问题必须等到制版测试后才能发现。这大大降低了设计的效率,提高了成本,在激烈的市场竞争下显然是不利的。于是针对高速PCB设计,业界人士提出了一种新的设计思路,成为“自上而下”的设计方法,经过多方面的方针分析和优化,避免了绝大部分可能产生的问题,节省了大量的时间,确保满足工程预算,产生高质量的印制板,避免繁琐而高耗的测试检错等。利用差分线传输数字信号就是高速数字电路中控制破坏信号完整性因素的一项有效措施。在印制电路板(PCB抄板)上的差分线,等效于工作在准TEM模的差分的微波集成传输线对。其中,位于PCB顶层或底层的差分线等效于耦合微带线,位于多层PCB内层的差分线,等效于宽边耦合带状线。数字信号在差分线上传输时是奇模传输方式,即正负两路信号的相位差是180,而噪声以共模的方式在一对差分线上耦合出现,在接受器中正负两路的电压或电流相减,从而可以获得信号消除共模噪声。而差分线对的低压幅或电流驱动输出实现了高速集成低功耗的要求。

高速信号布线技巧

高速信号布线技巧 原文引自夔牛的博客 https://www.360docs.net/doc/c710668757.html,/seutommy 1.多层布线 合理选择层数能大幅度降低印版那个中间层尺寸,能充分利用中间层来设置屏蔽,能更好的实现就近接地,能有效的降低寄生电感,能有效缩短信号的传输长度,能最大限度的降低信号间的交叉干扰。 2.引线弯折越少越好 高速电路器件管脚间的引线弯折越少越好。高速电路布线的引线最好采用全直线,需要弯折,可用45°折线或圆弧线。 3.引线越短越好 高速电路器件管脚间的引线越短越好。引线越长,带来的分布电感和分布电容值越大,对系统的高频信号通过产生很多的影响,同时也会改变电路的特性阻抗。 4.引线层间的交替越少越好 高速电路器件管脚间的引线层间交替越少越好。所谓“引线的层间交替越少越好”,是指元件连接过程中所用的过孔越少越好。据侧,一个过孔可带来约0.5pF的分布电容,导致电路的延迟明显增加,减少过孔数目能显著提高速度。 5.注意平行交叉干扰 高速电路布线要注意信号线近距离平行走线所引入的“交叉干扰”,若无法避免平行分布,可在平行信号的反面布置大面积“地”来大幅度减少干扰。同一层内的平行走线几乎无法避免,但是在相邻的两个层,走线的方向务必取为相互垂直。 6.底线包围 底线包围,也称地线隔离,对特别重要的信号线或局部单元实施地线包围的措施。有些信号对要求比较严格,要保证信号不受到干扰,比如时钟信号、告诉模拟信号、微小模拟信号等。为了保护这些信号尽量少受到周围信号线的串扰,可在这些信号走线的外围加上保护的地线,将要保护的信号线加在中间。 7.走线避免成环

各类信号走线不能形成环路,地线也不能形成电流环路。如果产生环路电路,将在系统中产生很大的干扰。 8.布置去耦电容 每个集成电路块的附近应该设置一个或者几个高频去耦电容。为集成片的瞬变电流提供就进的高频通道,使电流不至于通过环路面积较大的供电线路,从而大大减少了向外的辐射噪声。同时由于各集成片拥有自己的高频通道,相互之间没有公共阻抗,抑制了其阻抗耦合。 9.使用高频扼流环节 模拟地线、数字地线等接往公共地线时要用高频扼流环节。在实际装配高频扼流环节时用的网上是中心穿孔有导线的高频铁氧体磁珠. 10.避免分支和树桩 告诉信号布线应尽量避免分支或树桩。树桩对阻抗有很大影响,可以导致信号的反射和过冲,所以我们通常在设计时应避免树桩和分支。采用菊花链的方式,将对信号的影响降低。 11.信号线尽量走在内层 高频信号线走在表层容易产生较大电磁辐射,也容易受到外界电磁辐射或者因此的干扰。将高频信号先布线在电源和地线之间,通过电源还底层对电磁波的吸收,所产生的辐射将减少很多。

高速PCB设计指南

高速PCB设计指南 第一篇 PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理

既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个

差分信号走线原则

设计规则1 我们处理差分信号的第一个规则是:走线必须等长。有人激烈地反对这条规则。通常他们的争论的基础包括了信号时序。他们详尽地指出许多差分电路可以容忍差分信号两个部分相当的时序偏差而仍然能够可靠地进行翻转。根据使用的不同的逻辑门系列,可以容忍500 mil 的走线长度偏差。并且这些人们能够将这些情况用器件规范和信号时序图非常详尽地描绘出来。问题是,他们没有抓住要点!差分走线必须等长的原因与信号时序几乎没有任何关系。与之相关的仅仅是假定差分信号是大小相等且极性相反的以及如果这个假设不成立将会发生什么。将会发生的是:不受控的地电流开始流动,最好情况是良性的,最坏情况将导致严重的共模EMI问题。 因此,如果你依赖这样的假定,即:差分信号是大小相等且极性相反,并且因此没有通过地的电流,那么这个假定的一个必要推论就是差分信号对的长度必须相等。差分信号与环路面积:如果我们的差分电路处理的信号有着较慢的上升时间,高速设计规则不是问题。但是,假设我们正在处理的信号有着有较快的上升时间,什么样的额外的问题开始在差分线上发生呢?考虑一个设计,一对差分线从驱动器到接收器,跨越一个平面。同时假设走线长度完全相等,信号严格大小相等且极性相反。因此,没有通过地的返回电流。但是,尽管如此,平面层上存在一个感应电流! 任何高速信号都能够(并且一定会)在相邻电路(或者平面)产生一个耦合信号。这种机制与串扰的机制完全相同。这是由电磁耦合,互感耦合与互容耦合的综合效果,引起的。因此,如同单端信号的返回电流倾向于在直接位于走线下方的平面上传播,差分线也会在其下方的平面上产生一个感应电流。 但这不是返回电流。所有的返回电流已经抵消了。因此,这纯粹是平面上的耦合噪声。问题是,如果电流必须在一个环路中流动,剩下来的电流到哪里去了呢?记住,我们有两根走线,其信号大小相等极性相反。其中一根走线在平面一个方向上耦合了一个信号,另一根在平面另一个方向上耦合了一个信号。平面上这两个耦合电流大小相等(假设其它方面设计得很好)。因此电流完全在差分走线下方的一个环路中流动(图3)。它们看上去就像是涡流。耦合电流在其中流动的环路由(a)差分线自身和(b)走线在每个端点之间的间隔来定义。 设计规则2 现在EMI 与环路面积已是广为人知了3。因此如果我们想控制EMI,就需要将环路面积最小化。并且做到这一点的方法引出了我们的第二条设计规则:将差分线彼此靠近布线。有人反对这条规则,事实上这条规则在上升时间较慢并且EMI 不是问题时并不是必须的。但是在高速环境中,差分线彼此靠得越近布线,走线下方所感应的电流的环路就越小,

高速PCB设计指南

高速PCB设计指南之一 第一篇PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和

高速PCB布线差分对走线

高速PCB布线差分对走线 为了避免不理想返回路径的影响,可以采用差分对走线。为了获得较好的信号完整性,可以选用差分对来对高速信号进行走线,如图1所示,LVDS电平的传输就采用差分传输线的方式。 图1 差分对走线实例 差分信号传输有很多优点,如: ·输出驱动总的dI/dr会大幅降低,从而减小了轨道塌陷和潜在的电磁干扰; ·与单端放大器相比,接收器中的差分放大器有更高的增益; ·差分信号在一对紧耦合差分对中传输时,在返回路径中对付串扰和突变的鲁棒性更好; ·因为每个信号都有自己的返回路径,所以差分新信号通过接插件或封装时,不易受 到开关噪声的干扰; 但是差分信号也有其缺点:首先是会产生潜在的EMI,如果不对差分信号进行恰当的平衡或滤波,或者存在任何共模信号,就可能会产生EMI问题;其次是和单端信号相比,传输差分信号需要双倍的信号线。 如图2所示为差分对走线在PCB上的横截面。D为两个差分对之间的距离;s为差分对两根信号线间的距离;W为差分对走线的宽度;Ff为介质厚度。

使用差分对走线时,要遵循以下原则: ·保持差分对的两信号走线之间的距离S在整个走线上为常数; ·确保D>25,以最小化两个差分对信号之间的串扰; ·使差分对的两信号走线之间的距离S满足:S=3H,以便使元件的反射阻抗最小化; ·将两差分信号线的长度保持相等,以消除信号的相位差; ·避免在差分对上使用多个过孔,过孔会产生阻抗不匹配和电感。 图2 PCB上的差分对走线 以前,只有不到50%的电路板采用可控阻抗互连线,而现在这一比例已超过90%。如今有不到50%的电路板使用了差分对,相信在不久的将来,随着对差分对原理和设计规则的了解加深,将会有超过90%的电路板使用它 欢迎转载,信息来源维库电子市场网(https://www.360docs.net/doc/c710668757.html,)

高速PCB设计心得

一:前言 随着PCB系统的向着高密度和高速度的趋势不断的发展,电源的完整性问题,信号的完整性问题(SI),以及EMI,EMC的问题越来越突出,严重的影响了系统的性能甚至功能的实现。所谓高速并没有确切的定义,当然并不单单指时钟的速度,还包括数字系统上升沿及下降沿的跳变的速度,跳变的速度越快,上升和下降的时间越短,信号的高次谐波分量越丰富,当然就越容易引起SI,EMC,EMI的问题。本文根据以往的一些经验在以下几个方面对高速PCB的设计提出一些看法,希望对各位同事能有所帮助。 ●电源在系统设计中的重要性 ●不同传输线路的设计规则 ●电磁干扰的产生以及避免措施 二:电源的完整性 1.供电电压的压降问题。 随着芯片工艺的提高,芯片的内核电压及IO电压越来越小,但功耗还是很大,所以电流有上升的趋势。在内核及电压比较高,功耗不是很大的系统中,电压压降问题也许不是很突出,但如果内核电压比较小,功耗又比较大的情况下,电源路径上的哪怕是0.1V 的压降都是不允许的,比如说ADI公司的TS201内核电压只有 1.2V,内核供电电流要 2.68A,如果路径上有0.1欧姆的电阻,电 压将会有0.268V的压降,这么大的压降会使芯片工作不正常。如何尽量减小路径上的压降呢?主要通过以下几种方法。

a:尽量保证电源路径的畅通,减小路径上的阻抗,包括热焊盘的连接方式,应该尽量的保持电流的畅通,如下图1和图2的比较,很明显图2中选择的热焊盘要强于图1。 b:尽量增加大电流层的铜厚,最好能铺设两层同一网络的电源,以保证大电流能顺利的流过,避免产生过大的压降,关于电流大小和所流经铜厚的关系如表1所示。 (表1) 1 oz.铜即35微M厚, 2 oz.70微M, 类推 举例说,线宽0.025英寸,采用2 oz.盎斯的铜,而允许温升30度,

SATA高速差分信号设计规则

PCB设计挑战和建议作为PC、服务器和消费电子产品中重要的硬盘驱动器接口,串行ATA(SATA)发展迅猛并日益盛行。随着基于磁盘的存储在所有电子市场领域中变得越来越重要,系统设计工程师需要知道采用第一代SATA(1.5Gbps)和第二代SATA(3.0Gbps)协议的产品设计中的独特挑战。此外,系统设计工程师还需要了解新的SATA特性,以使其用途更广,功能更强,而不仅仅是简单地代替并行ATA。充分利用这些新特性并克服设计中存在的障碍,对成功推出采用SATA接口的产品非常关键。 日趋复杂的PCB布局布线设计对保证高速信号(如SATA)的正常工作至关重要。由于第一代和第二代SATA的速度分别高达1.5Gbps和3.0Gbps,因此铜箔蚀刻线布局的微小改动都会对电路性能造成很大的影响。SATA信号的上升时间约为100ps,如此快的上升时间,再加上有限的电信号传输速度,所以即使很短的走线也必须当成传输线来对待,因为这些走线上有很大部分的上升(或下降)电压。 高频效应处理不好,将会导致PCB无法工作或者工作起来时好时坏。为保证采用FR4 PCB板的SATA设计正常工作,必须遵守下面列出的FR4 PCB布局布线规则。这些规则可分为两大类:设计使用差分信号和避免阻抗不匹配。 高速差分信号设计规则包括: 1.SATA是高速差分信号,一个SATA连接包含一个发送信号对和一个接收 信号对,这些差分信号的走线长度差别应小于5mil。使差分对的走线长度保持一致非常重要,不匹配的走线长度会减小信令之间的差值,增加误码率,而且还会产生共模噪声,从而增加EMI辐射。差分信号线对应该 在电路板表层并排走线(微带线),如果差分信号线对必须在不同的层走 线,那么过孔两侧的走线长度必须保持一致。 2.差分信号线对的走线不能太靠近,建议走线间距是走线相对于参考平面高 度的6至10倍(最好是10倍)。 3.为减少EMI,差分对的走线间距不要超过150mil。 4.SATA差分对的差分阻抗必须为100欧姆。 5.为减少串扰,同一层其它信号与差分信号线对之间的间距至少为走线相对 于参考平面高度的10至15倍。 6.在千兆位传输速度的差分信号上不要使用测试点。 避免阻抗不匹配的设计规则包括:

ADI的高速PCB设计

The World Leader in High Performance Signal Processing Solutions A Practical Guide to High-Speed Printed Circuit Board Layout

Agenda Overview Schematic Location location location Location, location, location Power supply bypassing Parasitics Ground and power planes Packaging RF Signal routing and shielding Summary

Overview PCB layout is one of the last steps in the design process and often one of the most critical High-speed circuit performance is heavily dependant on High speed circuit performance is heavily dependant on layout A high-performance design can be rendered useless due to a poor or sloppy layout poor or sloppy layout Today’s presentation will help: p y p z Improve the layout process z Ensure expected circuit performance is achieved z Reduce design time L t z Lower cost z Lower stress for you and the PCB designer

高速信号走线规则

高速信号走线规则 随着信号上升沿时间的减小,信号频率的提高,电子产品的EMI问题,也来越受到电子工程师的关注。 高速PCB设计的成功,对EMI的贡献越来越受到重视,几乎60%的EMI问题可以通过高速PCB来控制解决。 规则一:高速信号走线屏蔽规则 在高速的PCB设计中,时钟等关键的高速信号线,走需要进行屏蔽处理,如果没有屏蔽或只屏蔽了部分,都是会造成EMI的泄漏。建议屏蔽线,每1000mil,打孔接地。如上图所示。 规则二:高速信号的走线闭环规则 由于PCB板的密度越来越高,很多PCB LAYOUT工程师在走线的过程中,很容易出现这种失误,如下图所示: 时钟信号等高速信号网络,在多层的PCB走线的时候产生了闭环的结果,这样的闭环结果将产生环形天线,增加EMI 的辐射强度。 规则三:高速信号的走线开环规则 规则二提到高速信号的闭环会造成EMI辐射,同样的开环同样会造成EMI辐射,如下图所示:

时钟信号等高速信号网络,在多层的PCB走线的时候产生了开环的结果,这样的开环结果将产生线形天线,增加EMI 的辐射强度。在设计中我们也要避免。 规则四:高速信号的特性阻抗连续规则 高速信号,在层与层之间切换的时候必须保证特性阻抗的连续,否则会增加EMI的辐射,如下图: 也就是:同层的布线的宽度必须连续,不同层的走线阻抗必须连续。 规则五:高速PCB设计的布线方向规则 相邻两层间的走线必须遵循垂直走线的原则,否则会造成线间的串扰,增加EMI辐射,如下图: 相邻的布线层遵循横平竖垂的布线方向,垂直的布线可以抑制线间的串扰。 规则六:高速PCB设计中的拓扑结构规则 在高速PCB设计中有两个最为重要的内容,就是线路板特性阻抗的控制和多负载情况下的拓扑结构的设计。在高速的情况下,可以说拓扑结构的是否合理直接决定,产品的成功还是失败。 如上图所示,就是我们经常用到的菊花链式拓扑结构。这种拓扑结构一般用于几Mhz的情况下为益。高速的拓扑结构我们建议使用后端的星形对称结构。

pcb设计指南

mp3的设计原理及制作 高速PCB设计指南之一 第一篇PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程 限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布 线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生 反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般 先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要 断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技 术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过 程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影 响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~ 0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm。对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个 地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用 一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑 它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人 PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们 之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有 在PCB上不共地的,这由系统设计来决定。 3 信号线布在电(地)层上 在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量, 成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是 保留地层的完整性。 4 大面积导体中连接腿的处理 在大面积的接地(电)中,常用元器件的腿与其连接,对连接腿的处理需要进行综合的考虑,就电气性能而言,元件腿的焊盘 与铜面满接为好,但对元件的焊接装配就存在一些不良隐患如:①焊接需要大功率加热器。②容易造成虚焊点。所以兼顾电气 性能与工艺需要,做成十字花焊盘,称之为热隔离(heat shield)俗称热焊盘(Thermal),这样,可使在焊接时因截面过分散 热而产生虚焊点的可能性大大减少。多层板的接电(地)层腿的处理相同。 5 布线中网络系统的作用 在许多CAD系统中,布线是依据网络系统决定的。网格过密,通路虽然有所增加,但步进太小,图场的数据量过大,这必然对 设备的存贮空间有更高的要求,同时也对象计算机类电子产品的运算速度有极大的影响。而有些通路是无效的,如被元件腿的 焊盘占用的或被安装孔、定们孔所占用的等。网格过疏,通路太少对布通率的影响极大。所以要有一个疏密合理的网格系统来 支持布线的进行。 标准元器件两腿之间的距离为0.1英寸(2.54mm),所以网格系统的基础一般就定为0.1英寸(2.54 mm)或小于0.1英寸的整倍数, 如:0.05英寸、0.025英寸、0.02英寸等。 6 设计规则检查(DRC) 布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的 需求,一般检查有如下几个方面: (1)、线与线,线与元件焊盘,线与贯通孔,元件焊盘与贯通孔,贯通孔与贯通孔之间的距离是否合理,是否满足生产要 求。 (2)、电源线和地线的宽度是否合适,电源与地线之间是否紧耦合(低的波阻抗)?在PCB中是否还有能让地线加宽的地 方。 (3)、对于关键的信号线是否采取了最佳措施,如长度最短,加保护线,输入线及输出线被明显地分开。 (4)、模拟电路和数字电路部分,是否有各自独立的地线。 (5)后加在PCB中的图形(如图标、注标)是否会造成信号短路。 (6)对一些不理想的线形进行修改。 (7)、在PCB上是否加有工艺线?阻焊是否符合生产工艺的要求,阻焊尺寸是否合适,字符标志是否压在器件焊盘上,以免影 响电装质量。 (8)、多层板中的电源地层的外框边缘是否缩小,如电源地层的铜箔露出板外容易造成短路。 Copyright by BroadTechs Electronics Co.,Ltd 2001-2002

SDRAM 类高速器件布线规则

Learn to walk first before you want to run…SDRAM 类高速器件布线规则 一个优秀的Layout,一块好的板子,并不是随便布线连同就可以实现电路要求的,凡事都得谨慎,此处别处摘要,讲述SDRAM类高速器件布线规则: 如果你没有信号完整性的知识和对传输线的认识,恐怕你很难看懂,如果你看不懂,那么请按这样一个通用的基本法则做: (1)DDR和主控芯片尽量靠近 (2)高速约束中设置所有信号、时钟线等长(最多允许50mils的冗余),所有信号、时钟线长度不超过1000mils (3)尽量0过孔,元件层下面一定要有一个接地良好的地层,所有走线不能跨过地的分割槽,即从元件层透视地层看不到与信号线交叉的地层分割线。 这样的话200M的DDR基本上是没有太大问题。其它的一些3W 20H法则就能做到尽量做到吧 3W原则: 这里3W是线与线之间的距离保持3倍线宽。你说3H也可以。但是这里H指的是线宽度。不是介质厚度。是为了减少线间串扰,应保证线间距足够大,如果线中心距不少于3倍线宽时,

则可保持70%的线间电场不互相干扰,称为3W规则。如要达到98%的电场不互相干扰,可使用10W规则。针对EMI(电磁干扰:eg传导、辐射、谐波) 20H原则: 是指电源层相对地层内缩20H的距离,当然也是为抑制边缘辐射效应。在板的边缘会向外辐射电磁干扰。将电源层内缩,使得电场只在接地层的范围内传导。有效的提高了EMC。若内缩20H则可以将70%的电场限制在接地边沿内;内缩100H则可以将98%的电场限制在内。针对EMC(电磁兼容) 五---五规则: 印制板层数选择规则,即时钟频率到5MHz或脉冲上升时间小于5ns,则PCB板须采用多层板,这是一般的规则,有的时候出于成本等因素的考虑,采用双层板结构时,这种情况下,最好将印制板的一面做为一个完整的地平面层。 对于“五五规则”的时钟频率到5MHz或脉冲上升时间小于5ns,此处我严重不理解。。。时钟信号:以地平面为参考,给整个时钟回路的走线提供一个完整的地平面, 给回路电流提供一个低阻抗的路径。由于是差分时钟信号,在走线前应预先设计好线宽线距,计算好差分阻抗,再按照这种约束来进行布线。所有的DDR差分时钟信号都必须在关键平面上走线,尽量避免层到层的转换。线宽和差分间距需要参考DDR控制器的实施细则,信号线的单线阻抗应控制在50~60 Ω,差分阻抗控制在100~120 Ω。时钟信号到其他信号应保持在20 mil*以上的距离来防止对其他信号的干扰。蛇形走线的间距不应小于20 mil。串联终端电阻RS值在15~33Ω,可选的并联终端电阻RT值在25~68 Ω,具体设定的阻值还是应该依据信号完整性仿真的结果。 数据信号组:以地平面为参考,给信号回路提供完整的地平面。特征阻抗控制在50~60 Ω。线宽要求参考实施细则。与其他非DDR信号间距至少隔离20 mil。长度匹配按字节通道为单位进行设置,每字节通道内数据信号DQ、数据选通DQS和数据屏蔽信号DM长度差应控制在±25 mil内(非常重要),不同字节通道的信号长度差应控制在1 000 mil 内。与相匹配的DM和DQS串联匹配电阻RS值为0~33 Ω,并联匹配终端电阻RT值为25~68Ω。如果使用电阻排的方式匹配,则数据电阻排内不应有其他DDR信号。 地址和命令信号组:保持完整的地和电源平面。特征阻抗控制在50~60 Ω。信号线宽参考具体设计实施细则。信号组与其他非DDR信号间距至少保持在20 mil

高速PCB设计的基本知识及概念

高速PCB设计的基本知识及概念 1、“层(Layer)”的概念 与字处理或其它许多软件中为实现图、文、色彩等的嵌套与合成而引入的“层”的概念有所同,Protel的“层”不是虚拟的,而是印刷板材料本身实实在在的各铜箔层。现今,由于电子线路的元件密集安装。防干扰和布线等特殊要求,一些较新的电子产品中所用的印刷板不仅有上下两面供走线,在板的中间还设有能被特殊加工的夹层铜箔,例如,现在的计算机主板所用的印板材料多在4层以上。这些层因加工相对较难而大多用于设置走线较为简单的电源布线层(如软件中的Ground Dever和Power Dever),并常用大面积填充的办法来布线(如软件中的ExternaI P1a11e和Fill)。上下位置的表面层与中间各层需要连通的地方用软件中提到的所谓“过孔(Via)”来沟通。有了以上解释,就不难理解“多层焊盘”和“布线层设置”的有关概念了。举个简单的例子,不少人布线完成,到打印出来时方才发现很多连线的终端都没有焊盘,其实这是自己添加器件库时忽略了“层”的概念,没把自己绘制封装的焊盘特性定义为”多层(Mulii一Layer)的缘故。要提醒的是,一旦选定了所用印板的层数,务必关闭那些未被使用的层,免得惹事生非走弯路。 2、过孔(Via) 为连通各层之间的线路,在各层需要连通的导线的文汇处钻上一个公共孔,这就是过孔。工艺上在过孔的孔壁圆柱面上用化学沉积的方法镀上一层金属,用以连通中间各层需要连通的铜箔,而过孔的上下两面做成普通的焊盘形状,可直接与上下两面的线路相通,也可不连。一般而言,设计线路时对过孔的处理有以下原则: (1)尽量少用过孔,一旦选用了过孔,务必处理好它与周边各实体的间隙,特别是容易被忽视的中间各层与过孔不相连的线与过孔的间隙,如果是自动布线,可在“过孔数量最小化”(Via Minimiz8tion)子菜单里选择“on”项来自动解决。 (2)需要的载流量越大,所需的过孔尺寸越大,如电源层和地层与其它层联接所用的过孔就要大一些。 3、焊盘(Pad)

Router布线详细设置-有图解

Router高级布线技巧 当设计高速信号PCB或者复杂的PCB时,常常需要考虑信号的干扰和抗干扰的问题,也就是设计这样的PCB时,需要提高PCB的电磁兼容性。为了实现这个目的,除了在原理图设计时增加抗干扰的元件外,在设计PCB时也必须考虑这个问题,而最重要的实现手段之一就是使用高速信号布线的基本技巧和原则。 高速信号布线的基本技巧包括控制走线长度、蛇形布线、差分对布线和等长布线,使用这些基本的布线方法,可以大大提高高速信号的质量和电磁兼容性。下面分别介绍这些布线方法的设置和操作。 10.5.1 控制走线长度 为了控制布线长度,可以对需要走线的网络或引脚对设置走线长度限制,将走线长度控制在一定的范围之内。控制走线长度的操作步骤如下: (1) 首先选择需要控制走线长度的网络。在项目浏览器中展开网络,然后选择需要控制走线长度的网络,例如本实例的CLKIN网络。 (2) 然后单击鼠标右键,并执行弹出快捷菜单中的Properties命令。执行该命令后,系统会弹出网络属性对话框,此时选择Length(长度)选型卡,如图10-57 所示。 此时可以设置走线长度的限制。选择Restrict length选项,然后分别在Minimum length编辑框中输入最小的长度值,如本实例设置为500mil;在Maximum length 编辑框中输入最大的长度值,如本实例设置为2000mil。 (3)设置了长度限制值后,单击OK按钮退出设置对话框。 设置网络走线长度限制后,走线时将遵守该长度设置,将走线控制在设置范围内。 设置长度限制规则后,在布线时就会显示走线长度监视器,动态显示布线的实际长度。 图10-57 长度选择卡 走线长度监视器能以图形的方式来帮助控制走线的长度。当设置长度限制规则后,走线长度信息成为走线时光标的一部分显示出来,这样可以很好地控制走线的长度,如图10-58所示。走线长度监视器会显示最小的和最大的允许布线长度,以及当前的实际长度,走线长度监视器在获得小于最大设置长度和大于最大设置长度的长度后,会显示不同的颜色。

PCI-E的高速PCB布线规则

PCI-E 布线规则 1、从金手指边缘到PCIE芯片管脚的走线长度应限制在4英寸(约100MM)以内。 2、PCIE的PERP/N,PETP/N,PECKP/N是三个差分对线,注意保护(差分对之间的距离、差分对和所有非PCIE信号的距离是20MIL,以减少有害串扰的影响和电磁干扰(EMI)的影响。芯片及PCIE信号线反面避免高频信号线,最好全GND)。 3、差分对中2条走线的长度差最多5MIL。2条走线的每一部分都要求长度匹配。差分线的线宽7MIL,差分对中2条走线的间距是7MIL。 4、当PCIE信号对走线换层时,应在靠近信号对过孔处放置地信号过孔,每对信号建议置1到3个地信号过孔。PCIE差分对采用25/14的过孔,并且两个过孔必须放置的相互对称。 5、PCIE需要在发射端和接收端之间交流耦合,差分对的两个交流耦合电容必须有相同的封装尺寸,位置要对称且要摆放在靠近金手指这边,电容值推荐为0.1uF,不允许使用直插封装。 6、SCL等信号线不能穿越PCIE主芯片。 合理的走线设计可以信号的兼容性,减小信号的反射和电磁损耗。PCI-E 总线的信号线采用高速串行差分通信信号,因此,注重高速差分信号对的走线设计要求和规范,确保PCI-E 总线能进行正常通信。 PCI-E是一种双单工连接的点对点串行差分低电压互联。每个通道有两对差分信号:传输对Txp/Txn,接收对Rxp/Rxn。该信号工作在2.5 GHz并带有嵌入式时钟。嵌入式时钟通过消除不同差分对的长度匹配简化了布线规则。 随着PCI-E串行总线传输速率的不断增加,降低互连损耗和抖动预算的设计变得格外重要。在整个PCI-E背板的设计中,走线的难度主要存在于PCI-E的这些差分对。图1提供了PCI-E高速串行信号差分对走线中主要的规范,其中A、B、C和D四个方框中表示的是常见的四种PCI-E差分对的四种扇入扇出方式,其中以图中A所示的对称管脚方式扇入扇出效果最好,D为较好方式,B和C为可行方式。接下来本文将对PCI-E LVDS信号走线时的注意事项进行总结:

高速pcb设计指南之五

高速PCB设计指南之五 第一篇DSP系统的降噪技术 随着高速DSP(数字信号处理器)和外设的出现,新产品设计人员面临着电磁干扰(EMI)日益严重的威胁。早期,把发射和干扰问题称之为EMI或RFI(射频干扰)。现在用更确定的词“干扰兼容性”替代。电磁兼容性(EMC)包含系统的发射和敏感度两方面的问题。假若干扰不能完全消除,但也要使干扰减少到最小。如果一个DSP系统符合下面三个条件,则该系统是电磁兼容的。 1.对其它系统不产生干扰。 2.对其它系统的发射不敏感。 3.对系统本身不产生干扰。 干扰定义 当干扰的能量使接收器处在不希望的状态时引起干扰。干扰的产生不是直接的(通过导体、公共阻抗耦合等)就是间接的(通过串扰或辐射耦合)。电磁干扰的产生是通过导体和通过辐射。很多电磁发射源,如光照、继电器、DC电机和日光灯都可引起干扰。AC电源线、互连电缆、金属电缆和子系统的内部电路也都可能产生辐射或接收到不希望的信号。在高速数字电路中,时钟电路通常是宽带噪声的最大产生源。在快速DSP中,这些电路可产生高达300MHz的谐波失真,在系统中应该把它们去掉。在数字电路中,最容易受影响的是复位线、中断线和控制线。 传导性EMI 一种最明显而往往被忽略的能引起电路中噪声的路径是经过导体。一条穿过噪声环境的导线可检拾噪声并把噪声送到另外电路引起干扰。设计人员必须避免导线捡拾噪声和在噪声产生引起干扰前,用去耦办法除去噪声。最普通的例子是噪声通过电源线进入电路。若电源本身或连接到电源的其它电路是干扰源,则在电源线进入电路之前必须对其去耦。 共阻抗耦合 当来自两个不同电路的电流流经一个公共阻抗时就会产生共阻抗耦合。阻抗上的压降由两个电路决定。来自两个电路的地电流流经共地阻抗。电路1的地电位被地电流2调制。噪声信号或DC补偿经共地阻抗从电路2耦合到电路1。 辐射耦合 经辐射的耦合通称串扰,串扰发生在电流流经导体时产生电磁场,而电磁场在邻近的导体中感应瞬态电流。 辐射发射 辐射发射有两种基本类型:差分模式(DM)和共模(CM)。共模辐射或单极天线辐射

布线原则

1、[问]高频信号布线时要注意哪些问题? [答] 1.信号线的阻抗匹配; 2.与其他信号线的空间隔离; 3.对于数字高频信号,差分线效果会更好; 2、[问] 在布板时,如果线密,过孔就可能要多,当然就会影响板子的电气性能,请问怎样提高板子的电气性能? [答] 对于低频信号,过孔不要紧,高频信号尽量减少过孔。如果线多可以考虑多层板; 3、[问]是不是板子上加的去耦电容越多越好? [答] 去耦电容需要在合适的位置加合适的值。例如,在你的模拟器件的供电端口就进加,并且需要用不同的电容值去滤除不同频率的杂散信号; 4、[问]一个好的板子它的标准是什么? [答] 布局合理、功率线功率冗余度足够、高频阻抗阻抗、低频走线简洁. 5、[问]通孔和盲孔对信号的差异影响有多大?应用的原则是什么? [答] 采用盲孔或埋孔是提高多层板密度、减少层数和板面尺寸的有效方法,并大大减少了镀覆通孔的数量。但相比较而言,通孔在工艺上好实现,成本较低,所以一般设计中都使用通孔。 6、[问]在涉及模拟数字混合系统的时候,有人建议电层分割,地平面采取整片敷铜,也有人建议电地层都分割,不同的地在电源源端点接,但是这样对信号的回流路径就远了,具体应用时应如何选择合适的方法? [答] 如果你有高频>20MHz信号线,并且长度和数量都比较多,那么需要至少两层给这个模拟高频信号。一层信号线、一层大面积地,并且信号线层需要打足够的过孔到地。这样的目的是: 1、对于模拟信号,这提供了一个完整的传输介质和阻抗匹配; 2、地平面把模拟信号和其他数字信号进行隔离; 3、地回路足够小,因为你打了很多过孔,地有是一个大平面。 7、[问]在电路板中,信号输入插件在 PCB最左边沿,MCU在靠右边,那么在布局时是把稳压电源芯片放置在靠近接插件(电源IC输出5V经过一段比较长的路径才到达 MCU),还是把电源 IC放置到中间偏右(电源 IC的输出 5V的线到达MCU就比较短,但输入电源 线就经过比较长一段 PCB板)?或是有更好的布局? [答] 首先你的所谓信号输入插件是否是模拟器件?如果是是模拟器件,建议你的电源布局应尽量不影响到模拟部分的信号完整性.因此有几点需要考虑(1)首先你的稳压电源芯片是否是比较干净,纹波小的电源.对模拟部分的供电,对电源的要求比较高. (2)模拟部分和你的MCU是否是一个电源,在高精度电路的设计中,建议把模拟部分和数字部分的电源分开. (3)对数字部分的供电需要考虑到尽量减小对模拟电路部分的影响.

高速pcb设计指南之六

高速p c b设计指南之六 Corporation standardization office #QS8QHH-HHGX8Q8-GNHHJ8

高速PCB设计指南之六 第一篇混合信号电路板的设计准则 模拟电路的工作依赖连续变化的电流和电压。数字电路的工作依赖在接收端根据预先定义的电压电平或门限对高电平或低电平的检测,它相当于判断逻辑状态的“真”或“假”。在数字电路的高电平和低电平之间,存在“灰色”区域,在此区域数字电路有时表现出模拟效应,例如当从低电平向高电平(状态)跳变时,如果数字信号跳变的速度足够快,则将产生过冲和回铃反射现象。 对于现代板极设计来说,混合信号PCB的概念比较模糊,这是因为即使在纯粹的“数字”器件中,仍然存在模拟电路和模拟效应。因此,在设计初期,为了可靠实现严格的时序分配,必须对模拟效应进行仿真。实际上,除了通信产品必须具备无故障持续工作数年的可靠性之外,大量生产的低成本/高性能消费类产品中特别需要对模拟效应进行仿真。 现代混合信号PCB设计的另一个难点是不同数字逻辑的器件越来越多,比如GTL、LVTTL、LVCMOS及LVDS逻辑,每种逻辑电路的逻辑门限和电压摆幅都不同,但是,这些不同逻辑门限和电压摆幅的电路必须共同设计在一块PCB上。在此,通过

透彻分析高密度、高性能、混合信号PCB的布局和布线设计,你可以掌握成功策略和技术。 一、混合信号电路布线基础 当数字和模拟电路在同一块板卡上共享相同的元件时,电路的布局及布线必须讲究方法。图1所示的矩阵对混合信号PCB的设计规划有帮助。只有揭示数字和模拟电路的特性,才能在实际布局和布线中达到要求的PCB设计目标。 图1:模拟和数字电路:混合信号设计的两个方面 在混合信号PCB设计中,对电源走线有特别的要求并且要求模拟噪声和数字电路噪声相互隔离以避免噪声耦合,这样一来布局和布线的复杂性就增加了。对电源传输线的特殊需求以及隔离模拟和数字电路之间噪声耦合的要求,使混合信号PCB 的布局和布线的复杂性进一步增加。 如果将A/D转换器中模拟放大器的电源和A/D转换器的数字电源接在一起,则很有可能造成模拟部分和数字部分电路的相互影响。或许,由于输入/输出连接器位置的缘故,布局方案必须把数字和模拟电路的布线混合在一起。 在布局和布线之前,工程师要弄清楚布局和布线方案的基本弱点。即使存在虚假判断,大部分工程师倾向利用布局和布线信息来识别潜在的电气影响。

相关文档
最新文档