多路彩灯数电课程设计

多路彩灯数电课程设计
多路彩灯数电课程设计

多路彩灯数电课程设计

————————————————————————————————作者:————————————————————————————————日期:

2

3

第一章 系统组成及工作原理

1.1 设计总体思路

根据课程设计课题要求,要实现本系统。需要设计时钟脉冲产生电路,循环控制电路,和彩灯花样输出控制电路。时钟脉冲由555电路与74LS161分频实现,循环电路由74LS161和74LS20实现,彩灯花样输出控制电路,由74LS194与相关的逻辑组合电路实现。

1.2 基本原理

由设计要求出发可知道,彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移、置数。第一节拍,为1的右移;第二节拍,为0的左移;第三节拍,全亮为置数1,全灭为置数0。由于程序循环一次要12秒,因此可以设计用一个12进制的计数器来控制循环。第三节拍时要全亮1s ,全灭1s,可以通过在某时刻给74LS194置入1,1s 后置入0。这就相当于在74LS194置数输入端加上一个0.5HZ 的脉冲,我们可以通过74LS161分频得到。

1.3 电路设计流程框图

12进制循

多谐

移位寄存

输出

分频

第二章单元电路设计

2.1 循环控制电路设计

2.1.1 具体实现方法

根据设计要求所需的是12进制计数器,那么我们可以选择利用74LS161(或者74LS163)设计得到一个12进制的计数器。那么在电路设计时候就有两种设计思路,利用CR端清零,或利用置数端口进行置数。因为74LS161与74LS163都是同步置数,所以如果是置数法,应该在11即(1011产生有效置位控制信号);介于74LS161是异步清零但是74LS163是同步清零,所以若是利用74LS161CR进行清零,则需要在12即(1100产生有效清零控制信号),而74LS163,则在11(1011产生有效清零信号)。

2.1.2 12进制循环控制器示意图

4

因为试验室只提供74LS161,所以在实际调试时候用74LS161代替74LS163

2.2分频电路设计

2.2.1具体实现方法

由于计数器就是一个分频器,所以对于分频电路设计就比较

简单了。在74LS161(或者74LS161)的时钟端给一个f=1Hz

的控制脉冲,那么从输出端QA,QB,QC出来的分别是二分频

(1/2f)、四分频、八分频。

2.2.2 分频电路设计示意图

5

2.3彩灯花样输出电路设计

2.3.1 通过12进制计数器的输出端的QD、QC信号控制移位寄存器74LS194的S0和S1的真值表

CLK 时

/S 节

QD QC S1 S0 SR SL 74LS194

移位方

1 1

拍0 0 0 1

1 X

右移

2 2 0 0 0 1

3 3 0 0 0 1

4 4 0 0 0 1

5 5

拍0 1 1 0

X 0

左移

6 6 0 1 1 0

7 7 0 1 1 0

8 8 0 1 1 0

9 9 第

拍1 0 1 1

X X

置1全亮

10 10 1 0 1 1 置0全灭

11 11 1 0 1 1 置1全亮0 12 1 0 1 1 置0全灭

由上表可得:

6

S0=QC’

S1=QD+QC

时钟端CLK用1HZ脉冲控制

2.3.2彩灯花样输出电路接线图

如下所示

7

第三章总电路设计

经过一步一步的设计与分析,最后得到如下所示总的电路设计图

8

第四章实验调试及测试结果分析

4.1 在EWB软件上仿真调试

将设计的电路在EWB软件上画好,然后用EWB软件仿真看是否能达到设计所要求的效果。

4.2 把仿真好的电路进行实物连接调试及遇到的问题

4.2.1 连接电路的方法和技巧

个人自己觉得在进行电路连接时候,我们要分模块连接并检查,要确保每个模块的正确性,然后再进行后面的电路连接,这样可以很容易的解决电路的故障问题。不要一步到位--- 一次性就把整个电路的线全部连好;这样当一个复杂的电路时,如果电路出现问题时候,那么将很难找出出现故障的地方。

4.2.2 第一个指示灯总是在熄灭状态,其它都符合要求

根据节拍两个指示灯的状态知道,前面的电路是正常的,而对于显示的4盏指示灯,其它三盏都符合要求,那么很有可能是QA引脚的问题。后来我换了一块74L194试验,结果第一个指示灯能正常工作了。

4.2.3 第三个指示灯在第三节拍时总是暗的,其它都符合要求

9

在解决第一个指示灯不亮的情况的过程中,换了新的74LS194芯片后又出现一个新的问题,第三个指示灯在第三节拍时候总是灭的无法亮。分析:由于在用开始的那块芯片时候第三个指示灯是正常的,现在在第一、第二节拍时候第三个指示灯能够正常亮灭,说明QC引脚,以及左移,右移相关的芯片引脚是正常的,因为第三节拍是并行置数状态,那么故障很有可能是置数输入端C引脚坏了,不能置入数。经过单独对C引脚进行测试,结果得出C引脚真的置数不进。

4.2.4打开电源,彩灯状态有时从间某个状态开始,循环一次后正常。

根据实际的电路显示效果,我们可以判断整体电路连接应该没问题,因为循环一次后电路符合设计的要求。经过进一步的分析得出,当加上电源后,某些芯片的引脚初始状态是随机的,有时候是高电平,有时候是低电平。正因为在刚上电74LS194的输出引脚QA、QB、QC、QD的状态有可能不是我们所要的初始状态0,那么刚上电就会从某个中间状态开始,一次后循环后,当QA、QB、QC、QD的状态都从0状态开始就满足正常的设计要求。针对与这种情况,我们可以在上电后给每一个输出引脚清零,让他从零初始态开始,那么这样就解决的这个问题。

10

第五章总结设计调试与心得体会

两周的课程设计很快就结束了,在这次课程设计中我学到了很多数电专业方面的知识,当然也有专业知识之外的其他东西。

首先,是四路彩灯电路的设计,我发现自己对芯片的功能以及引脚的功能还是不太熟悉;因此在设计的过程中,自己有不断的查阅相关资料,以及在网上查找些关与所需芯片的一些使用功能介绍,这样加强了自己对这些芯片的理解,与其对它们的应用。

其次,是电路的连接,线路连接是件需要细心的活。在连接的时候先要检查芯片、电线等。在连接的时候也要非常的细心,而且要有耐心,接线时候要养成一种好的接线习惯,我自己就喜欢把电路分成各个子单元,先确保某个单元连好,然后再接后续的电路,这样在检查故障时候就很轻松了。在面对电路接线时,要学会耐心细致的做一件事,不要毛躁,不要粗心,相信只要冷静的坚持就一定有所收获。

再次,这次课程设计,再次让我明白团队之间相互合作的重要性。在自己的这次设计完成后,同时我还有去帮其他同学找一找,他们电路的故障,去分析他们在电路中遇到的一些无法实现功能的问题症结,其实自己在帮助其他同学解决问题的时候,自己有时要去查查资料,这种主动的去学习,让自己所收获到得也很多,对于自己以后避免类似的问题很有帮助。将来在走入社会,能否找到好工作,或者说事业顺利,团队合作也占了很重的分量,而当今社会,很多公司、企业都非常重视团队合作精神。

最后,我要感谢学校给我们这样的实践机会,也感谢康老师在这两周对我们的耐心教导。总之,这次的课程设计,让我学习到了很多数电专业方面的知识,同时让我体会到了团队合作的重要性,让我以后做事更有耐心,更加细心。

11

第六章附录

6.1元器件清单

1片 74LS194(双向移位寄存器)、1片 74LS161(模十六计数器)、1片74LS20(与非门)、1片74LS04(非门)、1片74LS32(或门)、发光二极管(若干)、1个试验箱、导线(若干)、1块面包板

6.2参考文献

?数字电子技术基础?周良权编

?电子技术课程设计指导?彭介华编

?电子技术基础实验?陈大钦编

12

电气与信息工程系课程设计评分表

项目

评价

优良中及格差

设计方案的合理性与创造性

软件设计完成情况

硬件调试完成情况

设计说明书与设计图纸质量

答辩情况

独立工作能力

完成任务情况

出勤情况

综合评分

指导教师签名:________________

日期:________________ 注:①表中标*号项目是硬件制作或软件编程类课题必填内容;

②此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。

13

14

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

课程设计循环彩灯

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名:听风 学号:2010020200XX 专业班级:10级电子信息工程(1)班 指导教师: 2012 年5 月25日

课程设计任务书设计题目循环彩灯 学生姓名XX 所在院系电子信 息与电 气工程 学院 专业、年级、班 10级电子信息 工程(1)班 设计要求: 1、设计制作一个循环彩灯电路。 2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。 3、器件:74LS192、74LS138、74LS00、555定时器各一片,48kΩ ,300 Ω,51kΩ,10uf,0.01uf各一个。 学生应完成的工作: 根据原理进行设计,方案论证,完成循环彩灯的原理图设计及PCB板的制作,手动布线完成PCB板图。然后将修改无误的PCB板图复制,转印,做板。再按照自己设计的电路原理图把电子元器件焊接到电路板上。经调试能正常工作,老师考查合格后,写好设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2] 郑君里、杨为理信号与系统[M]北京:高等教育出版社,2011 [3] 谷树忠、刘文洲、姜航Altium Designer 教程北京:电子工业出版社,2006 [4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. 工作计划: 2012年5月14日上午:课程设计说明、软件培训。下午:Multism电路仿真。 2012年5月15日:电路设计与仿真。 2012年5月16日上午:电路设计与仿真。下午:指导教师单独指导。 2012年5月17日:用Altium Designer 10设计原理图。 2012年5月18日:用Altium Designer 10设计PCB。 2012年5月21日上午:循环彩灯制版。下午:循环彩灯安装。 2012年5月22日:指导课程设计报告书写。 2012年5月23日:指导课程设计报告书写。 2012年5月24日:电路调试。 2012年5月25日:设计验收设计报告收交。 任务下达日期:2012 年 5 月14 日 任务完成日期:2012 年 5 月25 日 指导教师(签名):学生(签名):

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

数字逻辑课设-循环彩灯系统设计

学生课程设计报告书 课程:数字逻辑 课题:循环彩灯系统设计 级计算机信息技术系 专业班 学号: 姓名: 指导教师: 2012—2013学年第 2 学期

循环彩灯系统设计 一、设计目的 1.设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2.综合应用数字逻辑知识设计一个循环彩灯系统。了解各种元器件的原理及其应用。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 二、设计任务与要求 1、设计任务 设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2、设计要求 1.利用Multisim仿真软件完成8路循环彩灯控制电路系统的设计,且发光二极管的亮度明显可见。 2.根据课设要求确定电路的形式,分析其工作原理,计算元件参数。 3.列出需要的元件清单,在仿真软件中找出并连接好线路。 4.在仿真软件上连接好点啦,并且测试,达到要求。 5.记录实验结果,使得彩灯有规律的发亮,按顺序显示彩灯,当一个循环结束,返回继续循环:绿--绿— 蓝—蓝—红—红—橙—橙,接着返回绿色循环 6.原件和芯片的放置合理,使得界面整洁美观,布线紧密合理。 三、8路彩灯循环系统电路原理及设计 1、设计方案 根据课设要求,循环彩灯系统,首先需要产生一定的脉冲信号来实现,通过采用555定时器通过链接成一个多谐振荡器,振荡电路来实现;各个彩灯发亮之间需要一定的显示时间,此功能可以通过添加D触发器来实现,然后用74LS160计数器转换脉冲信号用来控制彩灯的显示方式。最后链接其显示电路,通过74154N译码器实现。 1.根据课题要求,列出电路中各个功能需要的芯片和原件, 元器件列表 表1 序号器件名称数量备注 1 555定时器 1 连接成多谐振荡产生 脉冲信号

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

数电课程设计 双色三循环彩灯控制器电路

四川航天职业技术学院 电子工程系课程设计专业名称:电子工程系 课程名称:模电课程设计 课题名称:双色三循环彩灯控制器 设计人员: 指导教师:

年月日 《数字电子技术课程设计》任务书 一、课题名称:双色三循环方式彩灯控制器设计 二、技术指标: 1、电源VDD=12v 2、相邻两灯点亮的时间约在0.3-0.7s间可调,延时时间约在 1-6s间可调 3、计数器和译码器分别采用CMOS中规模集成电路CC4516 和CC4514 4、译码器4145共16个输出。 5、CMOS非门构成的振荡器的振荡周期T=1.4RC,555构成的振 荡器的振荡周期T=0.7(R1+2R2)C 三、要求: 1、控制器有3种方式: 方式A:单绿左移—单绿右移—单红左移—单红右移; 方式B: 单绿左移—全熄延时伴声音; 方式C:单红右移—四灯红闪、四灯绿闪延时。 2、控制器有8路输出,每路用双色发光二极管指示。 3、由单刀三掷开关控制3种方式,每种方式用单色发光二极 管指示 4、论文格式按系下发的《课程设计格式要求》统一执行。 5、要求原理图、印制板图、装配图三图齐全(印制板图和装 配可合二为一)。 指导教师:

学生: 电子工程系 年月日 课程设计报告书评阅页 课题名称:双色三循环彩灯控制器 班级: 姓名: 年月日指导教师评语:

考核成绩:指导教师签名: 年月日 摘要 循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的双色循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。 关键词:计数器,译码器,集成,双色发光二极管

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

模电课程设计参考题目

; 课程设计题目 一.多功能信号发生器的设计(三选一) 设计要求: 1.能输出1~10KHz连续可调的正弦波-方波-三角波。 正弦波峰-峰值U P-P≥6V 方波的峰-峰值U P-P≥10V 三角波的峰-峰值U P-P≥5V 要求用集成运算放大器μA741,LM324或其他型号的运算放大器实现。 2.> 3.能输出1~10KHz连续可调的正弦波-方波-锯齿波。要求同上。 4.能输出1~10KHz连续可调的方波-三角波-正弦波函数转换器。要求同上。 二.带前置放大的音频功率放大器(二选一) 设计要求: 1.前置放大器的放大倍数为10倍,使用双/单路低噪声集成运放NE5532/NE5534、OP-27A,功率放大采用LA4100、或LM386、或其他型号。音量可调,杂音小,有电源退耦,无自激。 2.用集成功放TDA1521、TDA2030A或LM1875等 用桥式整流电容滤波集成稳压块电路设计电路所需的直流电源(查功放最低的直流电压)。 三.设计一OCL音频功率放大器 ? 设计任务和要求 1.OCL前面要有推动级。输入信号为ui=10mV, 频率f=1KHz; 2.额定输出功率Po≥2W; 3.负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 四.设计一OTL音频功率放大器 设计任务和要求 1.OTL前面要有推动级。设音频信号为ui=10mV, 频率f=1KHz; 2.! 3.额定输出功率Po≥2W;负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 五.多级低频电压放大器 设计要求:

欧姆龙循环彩灯课程设计(自编)

题目4:循环彩灯PLC控制系统设计 专业:自动化年级:2009级班级:09自动化一班姓名:XXX 摘要 随着人们生活水平的提高,环境的不断改善和美化,PLC对人类的影响越来越广。在许多场合可以看到很多彩色的霓虹灯。特别是当今充满竞争的时代,各地政府为吸引游客和投资者,在城市的沿街、沿道、沿河、沿线等地用霓虹灯造景,实施“亮化工程”,以美化环境、树立城市形象。 由于LED彩灯克服了传统霓虹灯投资大,制作工艺复杂,使用玻璃管、高压电源及惰性气体等诸多不便,同时解决了耗电高、造价高,使用寿命短的不足,因此得到了广泛的应用。越来越多的商家开始关注这块商机无限的市场,竞相制作生产。 但是目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,电路结构复杂、功能单一,这样一旦制成成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮度时间、模式、闪烁频率等动态参数。同时这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能上来看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 关键字:PLC LED彩灯控制器 一、设计要求及任务 系统功能和控制要求:

1、流水型彩灯控制: 按下流水型彩灯启动按钮A,彩灯从前往后流水型控制,各灯点亮时间为1s,5s后从后往前流水型控制,循环10次后自动停止,各灯点亮。 按下流水型彩灯启动按钮B,彩灯从前往后隔位点亮流水型控制,并无限循环,各灯点亮时间自行设定;按下停止按钮系统停止工作。 2、发射与聚集型彩灯控制: 按下发射型彩灯启动按钮:彩灯以L5—L6,L4—L7,L3—L8,L2—L9,L1—L10顺序发射型依次点亮,各灯亮2s循环5次自动停止; 按下聚集型彩灯启动按钮:彩灯以L1—L10,L2—L9,L3—L8,L4—L7,L5—L6顺序聚集型依次点亮,各灯亮2s循环5次自动停止; 3、四灯同亮型彩灯控制: 自行设计一种四灯同亮控制规律,要求有5种四灯同亮模式,各模式依次点亮,点亮时间为2s,要求一次循环每个灯均被点亮2次。循环5次后自动停止。 按下四灯同亮彩灯启动按钮,彩灯按设计的四灯同亮控制规律运行。 4、综合彩灯控制: 将上述各种彩灯控制功能综合,自行设计控制规律,要求在按下综合彩灯启动按钮时彩灯按设计的控制规律循环运行,直至按下系统停止按钮时停止工作。要求一次循环中必须要有上述各种彩灯控制功能,每种功能在一次循环中出现不超过两次。 二、系统分析 近年来不断开发出许多功能模块,如高速计数模块、温度控制模块、远程I/O模块、通信和人机接口模块等。这些带CPU和存储器的智能I/O模块,既扩展了PLC功能,又使用灵活方便,扩大了PLC应用范围。加强PLC联网通信的能力,是PLC技术进步的潮流。PLC的联网通信有两类:一类是PLC之间联网通信,各PLC生产厂家都有自己的专有联网手段;另一类是PLC与计算机之间的联网通信,一般PLC都有专用通信模块与计算机通信。为了加强联网通信能力,PLC生

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

彩灯循环控制电路的设计与制作

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

目录 摘要 (3) 1设计任务及要求 (4) 2方案设计及选择 (4) 2.1 方案设计 (4) 2.2 方案的比较与选择 (8) 3单元电路的设计 (9) 4 整体电路图及原理 (15) 4.1整体电路图 (15) 4.2工作原理 (16) 5 电路调试及结果分析 (16) 5.1调试 (16) 6 结果评价与改进方法 (17) 6.1结果评价 (17) 6.2改进方法 (17) 7总结 (18) 8参考文献 (18)

摘要 多组彩灯按照一定的顺序点亮构成的电路具有很高的观赏性,在生活中有着很广泛的应用,例如广告牌,霓虹灯等。本设计中彩灯控制器可用于对霓虹灯或彩灯及节日字灯的控制,本次课程设计将对设计框图、设计电路图、单元电路图、工作原理、所用器件、电路调试等方面进行介绍,最后对本次课程设计进行总结。 关键词:循环,计数,单元电路

彩灯循环控制电路的设计与制作 1设计任务及要求 (1)8个彩灯能够自动循环点亮。 (2)彩灯循环显示且频率快慢为1S。 选作:设计具有控制彩灯左移,右移,全亮及全灭功能的电路 2方案设计及选择 2.1 方案设计 2.1.1 方案一 根据设计要求,使用计数器来实现循环,设计电路使其可实现以下功能 1)彩灯右移依次点亮的循环; 0101 2)彩灯左移依次点亮的循环: 0111 0010

3)彩灯全灭的功能;00000000 设计方案原理图 各单元电路所用器件为: 1)脉冲发生器:使用555构成的多谐振荡电路产生频率为1Hz 的脉 冲信号;

彩灯控制器课程设计

课程设计报告 课程名称:彩灯控制器 系部:机电系 专业班级: 小组成员: 指导教师: 完成时间:2012年1月3日

《数字电子技术》课程设计报告 一、设计要求 1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。 3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。 4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 二、设计的作用、目的 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 掌握彩灯控制器的原理,彩灯是一束束用导线连接起来的并联灯泡,当接通电源后,彩灯就会工作,但里面可能是由编程或非编程的电路控制灯泡的运作的,譬如实现彩灯、闪烁、循环、时控等功能。 数列的产生可以通过计数器和逻辑门实现,而循环则需要用到时序电路控制,如触发器等,而最后可以用逻辑门把几个输出接到同一个数码管。 :三、设计的具体实现 1系统概述 1)系统框图

从课程设计要求来看,要求实现彩灯的23种状态,所以,可以用一个23进制的计数器,从0到22来控制这23种状态。 再画出这23种状态和计数器数字对应的状态图,计算出逻辑式,便可实现彩灯的控制。由于变量过多,逻辑式的化简比较困难,所以我们使用了译码器来得到最小项,直接用最小项进行连接。 题目要求实现时间间隔可调,所以使用了555定时器构成的单稳态触发器来实现此功能。 2.电路分析与设计 1. 输入调整电路设计 工作电压采用+5V直流稳压电源,它是由电源变压器、整流电路、滤波电路、稳压电路4部分构成。 图1 直流稳压电源 2. 555定时器构成的单稳态触发器 电路图2:

数字电路课设--彩灯循环控制电路设计

数字电子技术课程设计报告 题目名称:彩灯循环控制电路设计姓名:程小松 学号:150712162 班级:15电本6班 指导教师:X媛 XX工程技术学院

信息工程与自动化系

数电课程设计任务书 一、设计题目: 彩灯循环控制电路设计 二、设计任务: 1)巩固和加强《数字电子技术基础》课程的理论知识; 2)掌握电子电路的一般设计方法,了解电子产品研制开发过程; 3)掌握电子电路安装和调试及故障排除方法,学会用Multisim软件对进行电路仿真操作; 4)通过查阅手册和文献资料,提升独立分析问题和解决问题的能力; 5)培养创新能力和创新思维。 三、设计报告: 1、格式要求: ⑴页面:A4,上下左右页边距2.0厘米。 ⑵题目:小二黑体加粗;大标题:三号黑体加粗;小标题:小四黑体加粗;正文:五号宋体。 ⑶页码:底部居中。 2、报告内容: 1.封面 2.内容提要 3.正文 1)设计要求 2)题目分析 3)设计思路与原理 4)电路图的仿真 5)心得体会 6)参考文献 四、进度安排:

五、参考资料: [1] 康华光.电子技术基础-数字部分[M].华中理工大学教研室. [2] 高吉祥.电子技术基础-实验与课程设计[M]. 电子工业. [3] 付子仪.电子技术课程指导书[M]. XX理工大学.

目录 一、内容提要1 二、课程设计目的错误!未定义书签。 三、课程设计要求1 四、电路组成框图2 五、元器件清单3 六、各功能块电路图3 6.1 脉冲信号发生器3 6.1.1 555定时器3 6.1.2 多谐振荡器5 6.2 顺序脉冲发生器6 6.3 彩灯循环系统9 6.4仿真电路总图12 七、结果分析12 八、总结1

路彩灯显示电路_数字逻辑_课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1. 设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为 d Q 、 c Q 、 b Q 、 a Q ,若“1”表示灯亮, “0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮、同时灭可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y Y Y 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y Y Y 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现 2 Y 功能,要求器件同时具有并行 置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q , 右移输入端SR ,左移输入端SL 和模式控制输入端0 S , 1 S 以及一个无条件直接清 除端CLR 。模式控制输入 S , 1 S 有00、01、10、11四种组合方式,分别表示双 向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012 Y Y Y =100时, 01 S S =01(右移),012 Y Y Y =010时, 01 S S =10(左移),当 012 Y Y Y =001

数电课程设计--四花样彩灯控制器

一、设计要求 1.1 设计课题及要求 (一)题目:四花样彩灯控制器 (二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现 (1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。 (三)主要参考元器: 555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。 二、系统组成及工作原理 2.1 系统组成框图 把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。设计框图如图2.1所示:

由两个555构成两个时钟电路,由模十六计数器和组合逻辑门构成四种码产生电路,由双D 触发器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六计数器和移位寄存器,另一个时钟控制双D触发器。 2.2工作原理分析 从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。 多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA,QB,QC,QD四个分频信号。其作用相当于一个受控的一刀四位的机械转换开关。当双D触发器输出为“00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“11”时数据选择器输出1111111100000000序列脉冲,为十六分频信号,实现花样四。调节开关电路的CP脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。 数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。 三、电路方案设计 3.1 电路图设计 将各单元电路组织起来就得到了系统电路图,如图所示 本电路图设计简单、结构清晰,可分为四种码产生电路、开关电路、输出电路和时钟电路这四个模块。四种码产生电路由模十六计数器和组合逻辑门构成,开关电路由双D触发器和数据选择器构成,输出电路由移位寄存器和彩灯构成,时钟电路由两个555构成。 通过改变多谐振荡器的电阻可改变彩灯流动的速度和各花样持续的时间,实现了彩灯花样的

相关文档
最新文档