数电试题及答案(五套)

《数字电子技术基础》试题一

一、 填空题(22分 每空2分)

1、=⊕0A , =⊕1A 。

2、JK 触发器的特性方程为: 。

3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态.

4、组合逻辑电路的输出仅仅只与该时刻的 输入 有关,而与 电路原先状态 无关。

5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。

6、一个四选一数据选择器,其地址输入端有 个。

二、 化简题(15分 每小题5分)

用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈

1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15)

2)∑∑+=)11,10,9,3,2,1()15,14,13,0(),,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程

3)________________________________________

__________)(),,(B A B A ABC B A C B A F +++=

三、 画图题(10分 每题5分)

据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、

四、 分析题(17分)

1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分)

2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分)

五、设计题(28分)

1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一

台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

A B C R Y G

0 0 0 0 0 1 0 1 0

0 1 1

1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 0 1 0

0 1 0

1 0 0

0 1 0

1 0 0 1 0 0 0 0 1

1)根据题意,列出真值表

由题意可知,令输入为A 、B 、C 表示三台设备的工作情况,“1”表示正常,“0”表示不正常,令输出为R ,Y ,G 表示红、黄、绿三个批示灯的 状态,“1”表示亮,“0”表示灭。

2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一个六进制加法计数器。(8分)

六、分析画图题(8分)

画出下图所示电路在i V 作用下,输出电压的波形和电压传输特性

R D Q D Q C Q B Q A

L D

EP

ET 161

&

1

1

CP

《数字电子技术基础》试题一答案

一、填空题(22分每空2分)

1、A ,A

2、n n n Q K Q J Q +=+1

3、稳态,暂稳态,暂稳态,稳态

4、输入,电路原先状态

5、0.1V

6、两

1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15)=BD A +

2) AC AD B A d m D C B A L ++=+=∑∑)11,10,9,3,2,1()15,14,13,0(),,,(

3)0

)

(),,(______

________________

__________________________________________________

__________=+++

=++++=+++=A BC B A B A AB BC B A B A B A ABC B A C B A F

三、画图题(10分 每题5分) 1、 2、

四、分析题(17分) 1、(6分)B A L ⊕= 2、(11分)五进制计数器

1 1 1 1

1

1

AB CD 00 00 01 01 10 10

11 11 1

1 1 1 1 ×

1

1 ×

×

×

×

×

AB CD 00 00 01 01 10 10

11 11 1

五、设计题(28分) 1、(20分)

1)根据题意,列出真值表

由题意可知,令输入为A 、B 、C 表示三台设备的工作情况,“1”表示正常,“0”表示不正常,令输出为R ,Y ,G 表示红、黄、绿三个批示灯的 状态,“1”表示亮,“0”表示灭。 (2)由真值表列出逻辑函数表达式为:

∑=)6,5,3,0(),,(m C B A R ∑=)4,2,1,0(),,(m C B A Y

7),,(m C B A G =

(3)根据逻辑函数表达式,选用译码器和与非门实现,画出逻辑电路图。

2、(8分)

A B C R Y G 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 1 0 0 1 0 0 1 0 1 0 0 0 1 0 1 0 0 1 0 0 0 0 1

R D Q D Q C Q B Q A L D EP

ET 161

&

1

1 CP

六、分析画图题(8分)

《数字电子技术基础》试题二

一、填空题:(每空1分,共15分)

1.逻辑函数Y AB C

=+的两种标准形式分别为()、

()。

2.将2004个“1”异或起来得到的结果是()。

3.半导体存储器的结构主要包含三个部分,分别是()、()、()。

4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。

5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。

6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。

1.

)6,4,0

(

)

(

,)7,5,3,2,1

(

)

(=

=

=

=∑i

M

ABC

Y

i

m

ABC

Y

i

i

2.0 3.地址译码器、存储矩阵、输出缓冲器4.0.039、5.31 5.双积分型、逐次逼近型6.施密特触发器、单稳态触发器7.结构控制字、输出逻辑宏单元、E2CMOS

二、根据要求作题:(15分)

1. 将逻辑函数 P=AB+AC 写成“与或非”表达式,并用“集电极开路与非门”来实现。

2.图1、2中电路均由CMOS 门电路构成,写出P 、Q 的表达式,并画出对应A 、B 、C 的P 、Q 波形。

二、根据要求作题:(共15分) 1.C

B A

C B A P ⋅=+= 2.

C Q B C B A Q BC C A P n

n ⋅++⋅+=+=+1;

OC 与非门实现如图:

三、分析图3所示电路: (10分)

1)试写出8选1数据选择器的输出函数式;

2)画出A2、A1、A0从000~111连续变化时,Y 的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分)

五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分)

七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并

说明它们和CP信号频率之比。(16分)

表1:

地址输入数据输出

A3 A2 A1 A0 D3 D2 D1 D0

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0

0 1 1 1

1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 1 1 0 1 0 0

0 1 0 1

1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 1 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 0 0 0 1 1 1 0 0 0 0

CP波形如图所示:

八、综合分析图7所示电路,RAM的16个地址单元中的数据在表中列出。(18分)要求:

(1)说明555定时器构成什么电路?

(2)说明74LS160构成多少进制计数器?

(3)说明RAM在此处于什么工作状态,起什么作用?

(4)写出D\A转换器CB7520的输出表达式(U O与d9~d0之间的关系);

(5)画出输出电压U o的波形图(要求画一个完整的循环)。

《数字电子技术基础》试题二答案一、填空(每空1分,共15分)

1.)

6,4,0()(,)7,5,3,2,1()(=∏===∑i M ABC Y i m ABC Y i i

2.0 3.地址译码器、存储矩阵、输出缓冲器4.0.039、5.31 5.双积分型、逐次逼近型6.施密特触发器、单稳态触发器 7.结构控制字、输出逻辑宏单元、E 2CMOS

二、根据要求作题:(共15分)

1.C

B A

C B A P ⋅=+= 2.

C Q B C B A Q BC C A P n

n ⋅++⋅+=+=+1;

OC 与非门实现如图:

三、1)

12701260125012401230122012101207

A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D D m Y i

i +++++++=∑=

2)

3)该电路为序列脉冲发生器,当A2、A1、A0从000~111连续变化时,Y 端输出连续脉冲10110011。

四、设用A3A2A1A0表示该数,输出F 。列出真值表(6分)

A3 A2 A1 A0 F

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0

0 0 0 0 0 1 1

1 1

1 0 0 1 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

X X

X X X X

∑⋅⋅==1

2023)9,8,7,6,5(A A A A A m F

五、

六、T=1, 连线Q CP F ⊕=如图:

七、 D3、D2、D1、D0频率比分别是1/15、3/15、5/15、7/15;

CP

A

B C D0 CP

D1 D2

八、

(1)555定时器构成多谐振荡器,发出矩形波;

(2)74LS160构成九进制计数器,状态转换图如下:

(3)R AM处于读出状态,将0000B~1000B单元的内容循环读出;

(4)

)

2

2

2

2

(

2

8

2

6

6

7

7

8

8

9

9

10

d

d

d

d

D

V

V

N

n

REF

O

+

+

+

=

-

=

(5)输出电压波形图如下:

《数字电子技术基础》试题三

一、填空题:(每空1分,共16分)

1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。

3.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是( )电路和( )电路。 4.施密特触发器有( )个稳定状态.,多谐振荡器有( )个稳定状态。

5.已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线( )条,数据线( )条。 6.已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于( )kHz ;完成一次转换所用的时间应小于( )。

7.GAL 器件的全称是( ),与PAL 相比,它的输出电路是通过编程设定其( )的工作模式来实现的,而且由于采用了( )的工艺结构,可以重复编程,使用更为方便灵活。

二、根据要求作题:(共16分)

3. 试画出用反相器和集电极开路与非门实现逻辑函数 C B AB Y +=。

2、图1、2中电路由TTL 门电路构成,图3由CMOS 门电路构成,试分别写出F1、F2、F3的表达式。

三、已知电路及输入波形如图4所示,其中FF1是D 锁存器,FF2是维持-阻塞D 触发器,根据CP 和D 的输入波形画出Q1和Q2的输出波形。设触发器的初始状态均为0。(8分)

四、分析图5所电路,写出Z1、Z2的逻辑表达式,列出真值表,说明电路的逻辑功能。(10分)

五、设计一位8421BCD码的判奇电路,当输入码含奇数个“1”时,输出为1,否则为0。要求使用两种方法实现:(20分)

(1)用最少与非门实现,画出逻辑电路图;

(2)用一片8选1数据选择器74LS151加若干门电路实现,画出电路图。

六、电路如图6所示,其中R A=R B=10kΩ,C=0.1μf,试问:

1.在Uk为高电平期间,由555定时器构成的是什么电路,其输出U0的频率f0=?

2.分析由JK触发器FF1、FF2、FF3构成的计数器电路,要求:写出驱动方程和状态方程,画出完整的状态转换图;

3.设Q3、Q2、Q1的初态为000,Uk所加正脉冲的宽度为Tw=5/f0,脉冲过后Q3、Q2、Q1

将保持在哪个状态?(共15分)

七、集成4位二进制加法计数器74161的连接图如图7所示,LD是预置控制端;D0、D1、D2、D3是预置数据输入端;Q3、Q2、Q1、Q0是触发器的输出端,Q0是最低位,Q3是最高位;LD 为低电平时电路开始置数,LD为高电平时电路计数。试分析电路的功能。要求:(15分)(1)列出状态转换表;(2)检验自启动能力;(3)说明计数模值。

《数字电子技术基础》试题三答案

二、填空(每空1分,共16分)

1. 真值表、逻辑图、逻辑表达式、卡诺图; 2.0;

3.TTL 、 CMOS ; 4.两、0 ; 5.10 、4 ; 6.20 、50μS ;

7.通用阵列逻辑、输出逻辑宏单元、E 2CMOS ; 二、根据要求作题:(共16分) 1. C

B B A

C B B A Y ⋅=+= 三、

2. B C CA F C F B A F +==+=321;

;

四、(1)表达式

73217

42121m m m m Z m m m m Z +++=+++=

(2)真值表

(3)逻辑功能为:全减器

五、首先,根据电路逻辑描述画出卡诺图:

(1)最简“与-或式”为:BCD D C B D C B D A D C B A Y ++++=;

“与非-与非式”为:BCD D C B D C B D A D C B A Y ⋅⋅⋅⋅= (与非门实现图略) (2)

六、

(1) 多谐振荡器;

Hz

C R R f B A 4812ln )2(1

0=+=

(2) 驱动方程: 状态方程:

⎩⎨

⎧==⎩⎨

⎧==⎩⎨

⎧==23231

2123

121;

;;

Q K Q J Q K Q J Q K Q J ⎪⎪⎩⎪⎪⎨⎧+=+=+=+++31121121211

2323213Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q n n n

状态转换图:

(3)初态为000,五个周期后将保持在100状态。

七、(1)状态转换图如下: (2)可以自启动; (3)模=8;

《数字电子技术基础》试题四

一、填空(每题1分,共10分)

1. TTL门电路输出高电平为 V,阈值电压为 V;

2. 触发器按动作特点可分为基本型、、和边沿型;

3. 组合逻辑电路产生竞争冒险的内因是;

4. 三位二进制减法计数器的初始状态为101,四个CP脉冲后它的状态为;

5. 如果要把一宽脉冲变换为窄脉冲应采用触发器;

6. RAM的扩展可分为、扩展两种;

7. PAL是可编程,EPROM是可编程;

8. GAL中的OLMC可组态为专用输入、、寄存反馈输出等几种工作模式;

9. 四位DAC的最大输出电压为5V,当输入数据为0101时,它的输出电压为 V;

10. 如果一个3位ADC输入电压的最大值为1V,采用“四舍五入”量化法,则它的量化阶距为 V。

二、写出图1中,各逻辑电路的输出逻辑表达式,并化为最简与或式;

(G1、G2为OC门,TG1、TG2为CMOS传输门) (10分)

三、由四位并行进位全加器74LS283构成图2所示: (15分)

1. 当A=0,X3X2X1X0=0011,Y3Y2Y1Y0=0100求Z3Z2Z1Z0=?,W=?

2.当A=1,X3X2X1X0=1001,Y3Y2Y1Y0=0101求Z3Z2Z1Z0=?,W=?

3.写出X(X3X2X1X0),Y(Y3Y2Y1Y0),A与Z(Z3Z2Z1Z0),W之间的算法公式,并指出其功能.

四、试画出图3在CP脉冲作用下Q1,Q2,Y对应的电压波形。

(设触发器的初态为0,画6个完整的CP脉冲的波形) (15分)

五、由可擦可编程只读存储器EPROM2716构成的应用电路如图所示。(15分)

1. 计算EPROM2716的存储容量;

2.当ABCD=0110时,数码管显示什么数字;

3.写出Z的最小项表达式,并化为最简与或式;

六、由同步十进制加法计数器74LS160构成一数字系统如图所示,假设计数器的初态为0,测得组合逻辑电路的真值表如下所示: (20分)

(完整版)数字电路基础考试题(附参考答案)

数字电子技术-考试复习题 一、单项选择题 1.(195)H 表示( D )。 (a) 二进制数 (b) 十进制数 (c) 八进制数 (d) 十六进制数 2.在TTL 门电路中,能实现“线与”的门电路是( B ) (a) 与非门 (b) 集电极开路门 (c) 或非门 (d) 或非门 3.用不同数制的数字来表示2007,位数 最少的是 。 (a) 十六进制数 (b) 十进制数 (c) 八进制数 (d) 二进制数 4.十进制数36转换为十六进制数,结果为 。 (a )26 (b )24 (c )22 (d )20 5.8421BCD 码10000111表示的十进制数是 。 (a ) 131 (b ) 103 (c ) 87 (d ) 13 6.A/D 转换输出的二进制代码位数越多,其转换精度( ) (a) 越高 (b) 越低 (c) 不变 (d) 无法确定 7.下列逻辑表示式正确的是( ) (a) 1=++B A B A (b) B A B A A +=+ (c)B A B A B A AB +=+ (d) B A AB += 8. 下列电路中,属于时序逻辑电路的是( ). (a) 数据选择器 (b) 编码器 (c) 计数器 (d) 译码器 9. 由8位寄存器组成的扭环移位寄存器 可以构成 进制计数器。 (a) 4 (b) 8 (c) 16 (d) 无法确定 10. 555集成定时器构成的单稳态触发器,其暂态时间t W ≈________。 (a) 0.7RC (b) RC (c) 1.1RC (d) 1.4RC 11.十进制数24转换为二进制数,结果 为 。 (a )10100 (b )10010 (c )01100 (d )11000 12. (a) 13. (c) 14. (c) 15. (d) 12.= O )275( ( )D , 。 (a )275 (b) 629 (c) 2750 (d) 2200 13.三态门的第三态是 。 (a )低电平 (b )高电平 (c ) 高阻 (d ) 任意电平 14.具有8个触发器的二进制异步计数器最多可能有 种状态。 (a ) 8 (b ) 128 (c ) 256 (d ) 512 15.“或非”逻辑运算结果为“0”的条件是该或项的变量 。 (a ) 全部输入“0” (b ) 全部输入“1” (c ) 任一个输入“0” (d ) 任一个输入“1” 16.当TTL 门电路输入端对地接电阻 R =10k Ω时,相当于此端 。 (a) 接逻辑“1” (b) 接逻辑“0” (c) 接0.4V 电压 (d) 逻辑不定 17.若干个三态逻辑门的输出端连接在一 起,能实现的逻辑功能是 。 (a) 线与 (b) 无法确定 (c) 数据驱动 (d) 分时传送数据 18.一个3输入表决电路,只有3个输入 都为0,输出才为1,则该电路的逻辑关系 是 。 (a) 与 (b) 或 (c) 或 非 (d) 与非 19.如要将一个最大幅度为5.1V 的模拟信号转换为数字信号,要求输入每变化20mV , 输出信号的最低位(LSB)发生变化,应选用 位ADC 。

数字电路复习题(含答案)

、 一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为 1 、 0两个;写出从(000)2 依次加1的所有3位二进制数: 000、001、010、011、100、101、110、111 。 2.13=(1101) 2;(5A) 16 =(1011010) 2 ;() 2 =(8C) 16 。 完成二进制加法(1011) 2+1=(1100) 2 3.写出下列公式:= 1 ;= B ;= A+B ; =B A 。 . 4.含用触发器的数字电路属于时序逻辑电路(组合逻辑电路、时序逻辑电路)。 TTL、CMOS电路中,工作电压为5V的是 TTL ;要特别注意防静电的是 CMOS 。5.要对256个存贮单元进行编址,则所需的地址线是 8 条。 6.输出端一定连接上拉电阻的是 OC 门;三态门的输出状态有 1 、 0 、高阻态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有 0 个稳定状态。8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是移位寄存器, 是同步时序电路(填同步还是异步),当R D =1时,Q Q 1 Q 2 Q 3 = 0000 , 当R D =0,D I =1,当第二个CP脉冲到来后,Q Q 1 Q 2 Q 3 = 0100 。 ? 1D ' FF0 1D C1 FF0 1D C1 FF0 & C1 FF0 R D R D R D R D Q3 % Q1 Q0 D I R D CP

(图一) 1.和二进制数等值的十六进制数是( B ) A .16 B . 16 C . 16 D . 16 2.和逻辑式B A C B AC ++相等的式子是( A ) A .AC+B B . B C C .B D .BC A + — 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n 位触发器构成的扭环形计数器,其无关状态数为个( B ) A .2n -n B .2n -2n C .2n D .2n -1 5.4个边沿JK 触发器,可以存储( A )位二进制数 A . 4 B .8 C .16 6.三极管作为开关时工作区域是( D ) A .饱和区+放大区 B .击穿区+截止区 * C .放大区+击穿区 D .饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A .基本RS 触发器 B .同步RS 触发器 C .主从结构触发器 8.施密特触发器常用于对脉冲波形的( C ) A .定时 B .计数 C .整形 1.八进制数 ) 8 的等值二进制数为 ;十进制数 98 的 8421BCD 码为 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路)

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 〔 〕 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 〔 〕 A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、以下关于异或运算的式子中,不正确的选项是 〔 〕 A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 〔 〕 A .n B .n 2 C .n 2 D .2 n 5、假设编码器中有50个编码对象,那么要求输出二进制代码位数为 位。 〔 〕 A .5 B .6 C .10 D .50 6、在以下逻辑电路中,不是组合逻辑电路的是 。 〔 〕 A .译码器 B .编码器 C .全加器 D .存放器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 〔 〕 A .1==K J B .Q J =,Q K = C .Q J =,Q K = D .0=J ,1=K 8、同步时序电路和异步时序电路比拟,其差异在于两者 。 〔 〕 A .没有触发器 B .是否有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9、8位移位存放器,串行输入时经 个脉冲后,8位数码全部移入存放器中。 〔 〕 A .1 B .2 C .4 D .8 10、555定时器D R 端不用时,应当 。 〔 〕 A .接高电平 B .接低电平 C .通过F μ01.0的电容接地 D .通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、()10=〔 〕2=〔 〕8=〔 〕16 3、用反演律求函数D A D C ABC F ++=的反函数〔不用化简〕=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电路试题五套(含答案)

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、 化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABC ABC BC BC A ++++

=+++ (2)Y ABC A B C 2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形(10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分) 五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端;

数字电路——考试题库及答案

数字电路——考试题库及答案 题目:将边沿变化缓慢的脉冲变成边沿陡峭的脉冲,可使用。 单稳态触发器 微分电路 多谐振荡器 施密特触发器 答案:施密特触发器 题目:n 个变量的最小项是____。 n个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量 n 个变量的积项,它包含全部n个变量,每个变量仅为原变量 n个变量的积项,它包含全部n个变量,每个变量可用原变量或非变量 n个变量的和项,它包含全部n个变量,每个变量仅为非变量 答案:n个变量的积项,它包含全部n个变量,每个变量可用原变量或非变量 题目:同步时序电路和异步时序电路比较,其差异在于后者____。

没有触发器 输出只与内部状态有关 没有稳定状态 没有统一的时钟脉冲控制 答案:没有统一的时钟脉冲控制 题目:555定时器的驱动电流可达。 20A 200μA 20 mA 200mA 答案:200mA 题目:下列哪类触发器有空翻转现象: 同步R-S触发器 维持阻塞D触发器 边沿J-K触发器 主从J-K触发器 答案:同步R-S触发器

题目:采用四位比较器(74LS85)对两个四位数比较时,先比较: 次低位 最高位 次高位 最低位 答案:最高位 题目:若输入变量A、B全为1时,输出F=0,则其输入与输出的关系是:____。 非 与非 或 与 答案:与非 题目:摩尔型时序电路的输出,选择正确答案: ____。 仅与电路内部状态有关 既与外输入也与内部状态有关 与外输人和内部状态都无关 仅与当前外输入有关

答案:仅与电路内部状态有关 题目:集电极开路门(OC门)在使用时须在之间接一电阻。 输出与地 不接电阻 输出与输入 输出与电源 答案:输出与电源 题目:一位8421BCD码计数器至少需要____个触发器。 4 3 10 5 答案:4 题目:下列哪类触发器有一次翻转问题: 维持阻塞D触发器

数电试题和答案解析[五套]。

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、 =⊕0A , =⊕1A 。 2、JK 触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态. 4、组合逻辑电路的输出仅仅只与该时刻的 有关,而与 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。 6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m(0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0() ,,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)____ ____________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分)

2、电路如图所示,分析该电路,画出完全的时序图,并说明电 路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不 正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分) 2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一个六进制加法计数器。(8分) 六、分析画图题(8分) V作用下,输出电压的波形和电压传输特性 画出下图所示电路在 i

(完整版)数字电子技术试题及答案(题库)

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门

C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器

8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图4所示电路的真值表及最简逻辑表达式。

(完整版)数电选择题答案及详解

1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。(2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1,K=1时,Q状态为翻转,即Q= Q’ 2 : 已知Y=A+AB´+A´B,下列结果中正确的是()(2分) A:Y=A B:Y=B C:Y=A+B D:Y=A´+B´ 您选择的答案: 正确答案: C 知识点:利用公式A+AB´=A和A+A´B=A+B进行化简 3 : (1001111)2的等值十进制数是()(2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案:D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 4 : 图中为CMOS门电路,其输出为()状态(2分)(对于CMOS门电路,输入端接负载时,输入电平不变) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=()(2分) A:A1´A0´D0+ A1´A0D1+ A1A0´D2+ A1A0D3 B:A1´A0´D0 C: A1´A0D1 D:A1A0´D2 您选择的答案: 正确答案: A 知识点:四选一数据选择器的Y= A1´A0´D0+ A1´A0D1+ A1A0´D2+ A1A0D

6 : 一个同步时序逻辑电路可用()三组函数表达式描述(2分) A:最小项之和、最大项之积和最简与或式 B:逻辑图、真值表和逻辑式 C:输出方程、驱动方程和状态方程 D:输出方程、特性方程和状态方程 您选择的答案: 正确答案: C 知识点:时序逻辑电路的逻辑关系需用三个方程即输出方程、驱动方程及状态方程来描述。 7 : (1010.111)2的等值八进制数是()(2分) A:10. 7 B:12. 7 C:12. 5 D:10. 5 您选择的答案: 正确答案: B 知识点:把每三位二进制数分为一组,用等值的八进制数表示。 8 : 一位十六进制数可以用()位二进制数来表示。(2分) A:1 B:2 C:4 D:16 您选择的答案: 正确答案: C 知识点: 9 : TTL同或门和CMOS同或门比较,它们的逻辑功能一样吗?(2分) A:一样 B:不一样 C:有时一样,有时不一样 D:不确定 您选择的答案: 正确答案: A 知识点:TTL门电路和CMOS门电路逻辑功能一样 10 : 下列说法不正确的是()(2分) A:同步时序电路中,所有触发器状态的变化都是同时发生的 B:异步时序电路的响应速度与同步时序电路的响应速度完全相同 C:异步时序电路的响应速度比同步时序电路的响应速度慢 D:异步时序电路中,触发器状态的变化不是同时发生的 您选择的答案: 正确答案: B 知识点:在同步时序电路中,所有触发器状态的变化都是在同一时钟信号操作下同时发生的;而异步时序电路中,触发器状态的变化不是同时发生的。一般地,异步时序电路的响应速度比同步时序电路的响应速度慢。 11 : 3线—8线译码器74HC138,当片选信号S1S2´S3´为()时,芯片被选通(2分)

(完整版)数电试题及标准答案(五套)。

《数字电子技术基础》试卷一一 填空题(22分每空2分) 1、A 0 , A 1 ________ 。 2、JK触发器的特性方程为:。 3、单稳态触发器中,两个状态一个为态,另一个为态.多谐振荡器两个状态都为态,施密特触发器两个状态都为态. 4、组合逻辑电路的输出仅仅只与该时刻的有关,而与无关。 5、某数/模转换器的输入为8位二进制数字信号(D7~D0),输出为0〜25.5V的模拟电压。若数字信号的最低位是“1其余各位是“0”则输出的模拟电压为。 6、一个四选一数据选择器,其地址输入端有个。 二、化简题(15分每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画岀卡诺圈 1) Y (A,B,C,D ) =Em (0,1,2,3,4,5,6,7,13,15) 2) L(A, B,C,D) m(0,13,14,15) d(1,2,3,9,10,11) 利用代数法化简逻辑函数,必须写岀化简过程 3)F(A,B,C) AB ABC A(B AB) 三、画图题(10分每题5分) 据输入波形画输岀波形或状态端波形(触发器的初始状态为0) 1、 A JL B B 丁 L 2、 rLrmrLHT 1 ~h 1< [i ~~i~■ 四、分析题(17分) 1、分析下图,并写岀输岀逻辑关系表达式,要有分析过程(

2、电路如图所示,分析该电路,画出完全的时序图,并说明电

五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不 正常;红、黄灯全亮表示三台都不正常。列岀控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分)2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一个六进制加法计数器。(8分) 六、分析画图题(8分) 画岀下图所示电路在V作用下,输岀电压的波形和电压传输特性 74LS138功能表如下: 2 / 26(勿

(完整版)数字电路试题及答案

1) “0”的补码只有一种形式。 (V ) 2) 卡诺图中,两个相邻的最小项至少有一个变量互反。 (V ) 3) 用或非门可以实现3种基本的逻辑运算。 (V ) 4) 三极管饱和越深,关断时间越短。 (X ) 5) 在数字电路中,逻辑功能相同的TTL 门和CMOS 门芯片可以互相替代使用 (X ) 6) 多个三态门电路的输出可以直接并接,实现逻辑与。 (X ) 7) 时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 (V ) 8) 采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X ) 9) 时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻 辑功能,它们之间可以相互转换。 (V ) 10) 一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励 函数时对无效状态的处理。 (V ) 二•选择题(从下列各题的备选答案中选出 1个或多个正确答案,将其填在括 号中。共10分 1. 不能将减法运算转换为加法运算。 (A ) A .原码 B .反码 C .补码 2. ________________________________ 小数“0”的反码可以写为 。 ______________________________________ (AD ) A . 0.0…0 B . 1.0…0 C . 0.1 …1 D . 1.1 …1 3. ______________________________________ 逻辑函数F=A B 和G=A O B 满足关系 ______________________________________ 。 (ABD ) A . F=G B . F '= G C . F '= G D . F = G 1 为 ________ 。 (B ) A . J = K = 0 B . J = K = 1 C . J = 1,K = 0 D . J = 0,K = 1 5.设计一个同步10进制计数器,需要 _________ 触发器。 (B ) A . 3 个 B . 4 个 C . 5 个 D . 10 个 求两者的关系。(10分) 解:两函数相等,刀(0,3, 4,7,11,12) 4.要使JK 触发器在时钟脉冲作用下,实现输出 Q n 1 Q n ,则输入端信号应 三 .两逻辑函数F 1 (AB AC D BCD)BC CD ABCD ,F 2 AB DC D ,

《数字电子技术》模拟试题及答案

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 151。 2、“至少有一个输入为0时,输出为 0 ”描述的是与运算的规则。 3、 4 变量逻辑函数有16个最小项。 4、基本逻辑运算有: 与 、 或 和 非 运算。 5、两二进制数相加时,不考虑低位的进位信号是 半 加器。 6、TTL 器件输入脚悬空相当于输入 高 电平。 7、RAM 的三组信号线包括: 数据 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 最高 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 B 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 C 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ A___。 A.C B A ABC ⋅⋅= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同

三、简答题(共10分) 1、证明:B A B A A +=+(4分)解:B A B A B A A A +=+⋅=+⋅+)()()左边=(1 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 四、分析题(20分) 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP ↑;CP0=CP ↑。 2)列出其驱动方程:(4分) J1=Q0;K1= 1 ;J0=1Q ;K0=11Q X Q X +⋅或。 3)列出其输出方程:(1分) Z =XQ1Q0 4)求次态方程:(4分) 0111Q Q Q n =+;0Q 10110110Q X Q Q Q X Q Q Q n +⋅+⋅=+或 5)作状态表及状态图(9分) Z

数电复习题有标准答案

第一章 一、填空题 1.二进制数是以2为基数的计数体制,十进制数是以10 为基数的计数体制,十六进制数是以 16 为基数的计数体制。 2.二进制数只有 0 和 1 两个数码,其计数的基数是 2 ,加法运算进位关系为逢2进一。 3.十进制数转换为二进制数的方法是:整数部分是除2取余法,小数部分用乘2取整法。 4.十进制数(23.76)转换为二进制数为(10111.110)2,8421BCD码(00100011.01110110)8421BCD,余三码为(01010110.)余3BCD。 5.二进制数转换为十进制数的方法为各位加权系数之和。 6.将二进制数(1011011)表示为加权系数之和的形式 2×1+2×1+2×1+2×1+2×1 。 7.格雷码的特点是相连不同,其余各位相邻两组代码只有一位代码不同,其余代码都相同。 8.数字电路主要是输出与输入之间的逻辑关系,故数字电路又称逻辑电路。 二、判断题。 1.二进制数是以2为基数的计数体制(√) 2.二进制数的权值是10的幂。(×) 3.十进制数整数转换为二进制数的方法是采用“除2取余法”(√) 4.BCD码是用4位二进制数表示1位十进制数。(√) 5.二进制数转换为十进制数的方法是各位加权系数之和。(√) 6.模拟电路又称逻辑电路。(×) 7.余3BCD码是用3位二进制数表示1位十进制数。(×) 8.二进制数整数最低位的权值为2。(×) 三、选择题。 1.1010的基数是(B) A10 B2 C16 D任意数 2.下列数中,不是余3码的是(D) A 1011 B1010 C 0110 D 0000 3.二进制数最低位的权值是(B) A 0 B 1 C 2 D 4 4.十进制数的权值是(A) A 10的幂B2的幂C16的幂 D 8的幂 5.二进制数的权值为(B) A 10的幂B2的幂 C 16的幂 D 8的幂 6.在二进制计数系统中每个变量的取值为(A) A 0和1 B 0—7 C 0—10 D 0—16 7.十进制计数系统包含(B) A 六个数字 B 十个数字 C 十六个数字 D 三十二个数字 8.(1)8421BCD对应的十进制数为(B)

数电试卷含答案

一、填空题:(每空1分,共20分) 1.门电路按逻辑功能可以分为七种类型,它们分别为: 、 、 、 、 、 和 。 2.描述时序电路逻辑功能的四种常用方法为: 、 、 、 。 3.最常用的两种波形整形电路分别为: 和 。 4.存储器的电路结构中含有三个组成部分,分别为: 、 、 。 5.PLD 这种新型半导体数字集成电路的最大特点是,可以通过 方法设置其逻辑功能,并且需要在 的支持下进行。 6.E 2PROM2864的数据线有 根、地址线有 根。 二、四变量逻辑函数C B A D BC D AB D C B CD B A F ++++=,试用卡诺图法化简,写出逻辑函数F 的最简与或式,然后用或非门和非门实现逻辑函数F ,并画出其逻辑图。 (12分) 三、下图中:(a )(b )为TTL 电路,(c )(d )为CMOS 电路,试分别写出各电路的逻辑表达式。 (16分,每题4分)

四、已知由维持阻塞D触发器组成的电路及其输入波形如图所示。(10分) 1)写出Q端的表达式; 2)说明B端的作用; 3)画出Q端与CP的对应波形。 五、已知2421BCD码如表所示,试用一片四位加法器74LS283及最少的与非门,将8421BCD码转换为2421BCD码。设计并画出接线图,并注明输入端和输出端。 (12分)

六、分析下图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。(15分) 七、用555定时器和同步二进制加法计数器74LS161构成的逻辑电路如图所示。1)指出555定时器组成什么功能的电路(写出电路名称); 2)指出74LS161组成什么功能的电路(写出电路名称),画出电路的状态转换图;3)试画出V A、V B、V C的对应波形。(15分)

数电习题(含答案)

一、选择题 1.一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 2.十进制数25用8421BCD 码表示为 B 。 A .10 101 B .0010 0101 C .100101 D .10101 3.以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 4. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 5.F=A B +BD+CDE+A D= A 。 A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 6.逻辑函数F=)(B A A ⊕⊕ = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.A+BC= C 。 A .A + B B.A + C C.(A +B )(A +C ) D.B +C 8.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 9.在何种输入情况下,“或非”运算的结果是逻辑0。 BCD A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 10. N 个触发器可以构成能寄存 B 位二进制数码的寄存器。 A.N -1 B.N C.N +1 D.2N 11.一个触发器可记录一位二进制代码,它有 C 个稳态。 A.0 B.1 C.2 D.3 12.存储8位二进制信息要 D 个触发器。

A.2 B.3 C.4 D.8 13.对于T触发器,若原态Qn=1,欲使新态Qn+1=1,应使输入T= A。 A.0 B.1 C.Q D.Q 14.对于D触发器,欲使Q n+1=Q n,应使输入D=C。 A.0 B.1 C.Q D.Q 15.对于J K触发器,若J=K,则可完成 C触发器的逻辑功能。 A.R S B.D C.T D.Tˊ 16.为实现将J K触发器转换为D触发器,应使 A 。 A.J=D,K=D B.K=D,J=D C.J=K=D D.J=K=D 17.边沿式D触发器是一种 C 稳态电路。 A.无 B.单 C.双 D.多 18.多谐振荡器可产生B。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 19.石英晶体多谐振荡器的突出是优点C。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边 沿陡峭 20.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为B。 A.3.33V B.5V C.6.66V D.10V 21.若在编码器中有50个编码对象,则要求输出二进制代码位数为 B 位。 A.5 B.6 C.10 D.50 22.一个16选一的数据选择器,其地址输入(选择控制输入)端有C 个。 A.1 B.2 C.4 D.16 23.函数C + =,当变量的取值为ACD 时,将出现冒险现象。 F+ A AB C B A.B=C=1 B.B=C=0 C.A=1,C=0 D.A=0,B=0

数电习题(含答案)

一、 选择题 1.一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 2.十进制数25用8421BCD 码表示为 B 。 A .10 101 B .0010 0101 C .100101 D .10101 3.以下表达式中符合逻辑运算法则的是 D 。 A.C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 4. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 5.F=A B +BD+CDE+A D= A 。 A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 6.逻辑函数F=)(B A A ⊕⊕ = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.A+BC= C 。 A .A + B B.A + C C.(A +B )(A +C ) D.B +C 8.在何种输入情况下,“及非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 9.在何种输入情况下,“或非”运算的结果是逻辑0。 BCD A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 10. N 个触发器可以构成能寄存 B 位二进制数码的寄存器。 A.N -1 B.N C.N +1 D.2N 11.一个触发器可记录一位二进制代码,它有 C 个稳态。 A.0 B.1 C.2 D.3 12.存储8位二进制信息要 D 个触发器。 A.2 B.3 C.4 D.8 13.对于T 触发器,若原态Qn=1,欲使新态Qn+1=1,应使输入T= A 。

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: ()B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

数字电子技术基础试题及答案

- 数字电子技术根底期末考试试卷 一、填空题 1. 时序逻辑电路一般由和两分组成。 2. 十进制数〔56〕10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4. 多谐振荡器是一种波形电路,它没有稳态,只有两个。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.逻辑函数F= ∑〔3,5,8,9,10,12〕+∑d(0,1,2) 〔1〕化简该函数为最简与或式: 〔2〕画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图 1 5.*地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平〔被译中〕。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1 = Q 1= 7. 电路如图4所示,试写出: ①驱动方程; ②状态方程; ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业〔班级〕 ** ** ……订………………………线………………………

③输出方程; ④状态表; ⑤电路功能。 图4 三、设计题:〔每10分,共20分〕 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的"1〞 的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: 〔1〕列出该电路F(A,B,C)的真值表和表达式; 〔2〕画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP 为输入波形。要求: 〔1〕列出计数器状态与V01、 V02的真值表; 〔2〕画出逻辑电路图。 图5 数字电路期末考试试卷评分标准 一、填空题:〔每题2分,共10分〕 1. 存储电路 , 组合电路 。 2. 111000 , 38 3.速度慢 ,超前进位 4. 产生,暂稳态 5. 32 二、化简、证明、分析综合题:〔每题10分,共70分〕 1.解: 2.证明:左边 3.解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=•+++--------------=++--------------分 分分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA B D =++++--------------=++++--------------=++++--------------=+--------------------------分 分分 分

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

相关主题
相关文档
最新文档