EDA电梯控制器课程设计报告.

EDA电梯控制器课程设计报告.
EDA电梯控制器课程设计报告.

成绩评定表

课程设计任务书

摘要

随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。

电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。

关键词:EDA技术电子信息通信自动控制

目录

1、设计要求概述 (1)

1.1 设计要求 (1)

1.2 总体设计思路 (1)

1.3 具体设计思路 (2)

2、功能模块整体结构设计 (2)

2.1 电梯控制器功能 (2)

2.2 电梯控制器设计 (3)

3、各模块详细设计 (3)

3.1底层模块设计 (3)

3.2 顶层模块设计 (6)

4、逻辑仿真与时序仿真的实现 (12)

4.1 底层设计模块的方针及参数设置 (12)

4.2 电梯分层控制模块的仿真及参数设置 (13)

4.3电梯主控制器仿真波形 (15)

5、设计结论 (15)

5.1 设计功能实现情况 (15)

5.2 设计心得 (16)

6、参考文献 (17)

1 电梯控制器的设计要求与设计思路

1.1 设计要求

十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。

设计要求如下:

(1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。

(2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼

(4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。

(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。

(6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。

(7)电梯初始状态为一层开门状态

1.2 总体设计思路

实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。

(2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。

(3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

灯,内部升降请求信号同外呼。

(4)根据电梯所在楼层,七段数码管显示楼层数。

(5)根据用户运行方向,点亮相应升降指示灯。

(6)当电梯运行到有相应外部呼叫或内部呼叫楼层时,电梯停止运行,灭掉相应的呼叫显示灯,电梯开关门后,继续运行。

1.3 具体设计思路

电梯控制可以通过多种方法进行设计,其中采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及开门,关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的一秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在1层”,“开门”,“关门”,“开门等待第一秒”,“开门等待第二秒”,“开门等待第三秒”,“开门等待第四秒”,“上升”,“下降”和“停止”状态。各个状态之间的转换条件可以由上面的设计要求所决定。

2.功能模块整体结构设计

2.1 电梯控制器功能

本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器。

主控制器的功能:

(1)完成10个楼层多用户的载客服务控制。

(2)电梯运行时显示电梯的运行方向和所在的楼层。

(3)当电梯到达选择的楼层时,电梯自动开门。

(4)具有提前关电梯门和延时关电梯门的功能。

(5)响应分控制器的有效请求,如果到达有请求的楼层,电梯自动开门。

分控制器的功能:

(1)显示电梯的运行状态和所在的楼层。

(2)显示乘客的上升和下降请求。

分控制器的有效请求原则:

(1)电梯处于等待状态时,上升和下降请求都响应。

(2)电梯处于上升状态时,有上升请求的分控制器所在楼层数大于电梯所在的

楼层数。

(3)电梯处于下降状态时,有下降请求的分控制器所在楼层数小于电梯所在的楼层数。

2.2 电梯控制器设计

控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在的楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控的楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。

图2.1 控制器的功能模块图

3.各模块详细设计

3.1底层模块设计及参数设置

①电梯控制计时模块的源程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity counter is

port(clk,reset,clr,en:in std_logic;

q:out integer range 0 to 8);

end;

architecture art of counter is

signal temp:integer range 0 to 8;

begin

process(clk,reset,clr,en)

begin

if(clr='1')then

temp<=0;

elsif(rising_edge(clk))then

if(reset='1')then

temp<=5;

elsif(en='1')then

if temp=5 then

temp<=temp;

else

temp<=temp+1;

end if;

end if;

end if;

end process;

q<=temp;

end art;

②电梯每层的分控制器源程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity layercontrol is

port(clk,switch,upkey,downkey,opendoor:in std_logic;

qin: in std_logic_vector(10 downto 1);

ur,dr:out std_logic;

disp1:out std_logic_vector(3 downto 0));

end;

architecture art of layercontrol is

constant id:std_logic_vector(10 downto 1):="0000001000";

signal upkey_data:std_logic;

signal downkey_data:std_logic;

signal opendoor1:std_logic;

signal opendoor2:std_logic;

signal req_opendoor:std_logic;

signal req_upr:std_logic;

signal req_downr:std_logic;

signal disp:std_logic_vector(3 downto 0);

begin

process(clk)

begin

if rising_edge(clk)then

upkey_data<=upkey;

downkey_data<=downkey;

opendoor2<=opendoor1;

opendoor1<=opendoor;

end if;

end process;

req_opendoor<=(opendoor1 and not(opendoor2));

process(switch,clk)

begin

if(switch='0')then

req_upr<='0';

req_downr<='0';

elsif(rising_edge(clk))then

if(req_opendoor='1' and qin=id)then

req_upr<='0';

req_downr<='0';

elsif(upkey='1' and upkey_data='0')then

req_upr<='1';

elsif(downkey='1' and downkey_data='0')then req_downr<='1';

end if;

end if;

end process;

ur<=req_upr;

dr<=req_downr;

with qin select

disp<="0001" when "0000000001",

"0010" when "0000000010",

"0011" when "0000000100",

"0100" when "0000001000",

"0101" when "0000010000",

"0110" when "0000100000",

"0111" when "0001000000",

"1000" when "0010000000",

"1001" when "010*******",

"1010" when "1000000000",

"0000" when others;

disp1<=disp;

end art;

3.2顶层模块设计

10层全自动电梯控制器顶层模块源程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity elevator10 is

port(clk:in std_logic; --时钟信号

switch:in std_logic; --电梯电源开关,高电平时电梯正常工作

close:in std_logic; --强制关门信号,高电平时电梯门立即关闭delay:in std_logic; --延时信号

sel:in std_logic_vector(10 downto 1); --楼层选择信号

uplift:in std_logic_vector(10 downto 1); --电梯上升请求输入信号

downlift:in std_logic_vector(10 downto 1); --电梯下降请求输入信号

qout:out std_logic_vector(10 downto 1); --电梯状态显示输出信号

opendoor:out std_logic); --强制开门信号

end;

architecture art of elevator10 is

component counter

port(clk,reset,clr,en:in std_logic;

q:out integer range 0 to 8);

end component;

type state_type is(up,m_up,down,m_down,stop,pause);

signal state:state_type; --电梯的各种状态

signal clk1:std_logic; --1Hz时钟信号

signal clk4:std_logic; --4Hz时钟信号

signal req_up:std_logic; --上升请求信号

signal req_down:std_logic; --下降请求信号

signal runen:std_logic; --电梯运行使能

signal runclr:std_logic; --电梯运行复位

signal stopen0:std_logic; --电梯停止使能

signal stopen1:std_logic;

signal stopclr:std_logic; --电梯停止复位

signal req_upr:std_logic_vector(10 downto 1); ---上升请求寄存器信号signal req_downr:std_logic_vector(10 downto 1); --下降请求寄存器信号signal position:std_logic_vector(10 downto 1); --电梯当前位置信号

signal uplift_data:std_logic_vector(10 downto 1); --上升楼层数据显示信号signal downlift_data:std_logic_vector(10 downto 1); --下降楼层数据显示信号signal sel_data:std_logic_vector(10 downto 1); --选择楼层数据显示信号signal runtime: integer range 0 to 8; --电梯运行时间

signal opentime:integer range 0 to 8; --电梯开门时间

signal openagain:std_logic; --电梯再次开门信号

signal voidreset:std_logic; --电梯超载复位信号begin

qout<=position;

p0:process(clk)

variable cnt0:integer range 0 to 4;

begin

if rising_edge(clk)then

if cnt0=4 then

clk4<='1';cnt0:=0;

else

clk4<='0';cnt0:=cnt0+1;

end if;

end if;

end process;

p1:process(clk4)

variable cnt1:integer range 0 to 3;

begin

if rising_edge(clk4)then

if cnt1=3 then

clk1<='1';cnt1:=0;

else

clk1<='0';cnt1:=cnt1+1;

end if;

end if;

end process;

p2:process(switch,clk,uplift,downlift)

begin

if rising_edge(clk)then

uplift_data<=uplift;

downlift_data<=downlift;

sel_data<=sel;

if state=pause then

req_upr<=req_upr and not(position);

req_downr<=req_downr and not(position);

if(uplift/=uplift_data and req_up/='1' and position>uplift)then req_downr<=(req_downr or uplift);

elsif(downlift/=downlift_data and req_up/='1' and position>downlift)then req_downr<=(req_downr or downlift);

elsif(uplift/=uplift_data and req_down/='1' and position

req_upr<=(req_upr or uplift);

elsif(downlift/=downlift_data and req_down/='1' and position

elsif(sel/=sel_data)then

if(sel

req_downr<=(req_downr or sel);

elsif(sel>position and req_down/='1')then

req_upr<=(req_upr or sel);

end if;

end if;

elsif(state=up or state=m_up)then

if(uplift/=uplift_data and req_down/='1' and position

req_upr<=(req_upr or uplift);

end if;

elsif(state=down or state=m_down)then

if(downlift/=downlift_data and req_up/='1' and position>downlift)then

req_downr<=(req_downr or downlift);

end if;

end if;

end if;

end process;

req_up<='1' when req_upr/="0000000000" else '0';

req_down<='1' when req_downr/="0000000000"else '0';

p3:process(switch,clk)

begin

if(switch='0')then

state<=stop;opendoor<='0';openagain<='1';

elsif rising_edge(clk) then

case state is

when stop=>state<=pause;

when pause=>

if((opentime=0 and openagain='0' and (req_up='1'or req_down='1'))

or(uplift/=uplift_data and position=uplift)

or(downlift/=downlift_data and position=downlift))then

opendoor<='1';stopclr<='0';stopen1<='1';

end if;

if(opentime=3 or (openagain='1' and (req_up='1' or req_down='1')))then if(req_up='1')then

state<=up;opendoor<='0';stopen1<='0';

stopclr<='1';openagain<='0';

elsif(req_down='1')then

state<=down;opendoor<='0';stopen1<='0';

stopclr<='1';openagain<='0';

else

state<=pause;opendoor<='0';stopen1<='0';

stopclr<='1';openagain<='1';

end if;

end if;

when up=>

if(runtime=4)then

runen<='0';runclr<='1';

if(position=(req_upr and position))then

state<=pause;

else

state<=m_up;

end if;

else

runclr<='0';runen<='1';

end if;

when m_up=> state<=up;

when down=>

if(runtime=4)then

runen<='0';runclr<='1';

if(position=(req_downr and position))then

state<=pause;

else

state<=m_down;

end if;

else

runclr<='0';runen<='1';

end if;

when m_down=>state<=down;

end case;

end if;

end process;

p4:process(switch,clk4,delay)

begin

if(switch='0')then

position<="0000000001"; --电梯电源低电平时,电梯停在第一层elsif(rising_edge(clk4))then

stopen0<=(not(delay)and stopen1);

if(runtime=3) then

if(state=up)then

position<=(position(9 downto 1)&'0');

elsif(state=down)then

position<=('0'&position(10 downto 2));

end if;

end if;

end if;

end process;

voidreset<='0';

run_time:counter

port map(clk=>clk4,reset=>voidreset,clr=>runclr,en=>runen,q=>runtime);

door_time:counter

port map(clk=>clk1,reset=>close,clr=>stopclr,en=>stopen0,q=>opentime);

end art;

4.逻辑仿真与时序仿真的实现

4.1 底层设计模块的方针及参数设置

图4-1 电梯控制计时模块仿真波图

Q为输出结果,其他参量是输入结果,其参数设置如下:

图4-2 clk和en对应的参数

图4-3 en对应的参数

4.2 电梯分层控制模块的仿真及参数设置

图4-4 电梯分控制器仿真图

在分层控制模块中,clk,switch,upkey,downkey,opendoor是输入信号,通过人工输入,其参数设置如下:

图4-5 clk和switch对应的参数

图4-6 upkey和downkey的对应参数

图4-7 opendoor的参数

4.3电梯主控制器仿真波形

图4-3 电梯主控制器仿真波图

5 结论

5.1 设计功能实现情况

对程序进行调试,经计算机仿真分析,结果表明本电梯控制程序实现了以下功能:(1)电梯到达楼层时,能发出指示信号;(2)能指示电梯的运行状态;(3)电梯的门操作具有关门延时功能和立即关门功能;(4)基本能正确响应各楼层的上行和下行请求(各楼层设置上行和下行选择按钮)。

5.2 设计心得

通过这次课程设计,让我在学习EDA理论知识的基础上,增强了的实践动手能力。在参考了许多相关资料后,充分理解了三层电梯的设计要求,基本上完成了设计的功能要求,但本代码中可能仍存在一些不能解决的实际问题,许多工程实际问题不能完全用理论知识去解决,需要不断吸取现场经验,寻找解决问题方法。虽然能完成设计的基本功能,但仍有可拓展的空间,比如超载报警、多层电梯等,都是可以继续研究以满足更多的功能要求,但以我现在对EDA知识的了解,可能不太容易完成,希望以后有机会再深入地学习EDA,设计出更符合实际功能需求的代码。

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

PLC电梯控制课程设计报告

课程设计报告 题目:电梯控制课程设计 院 (系): 电气信息工程学院 专业:电气工程及其自动化 姓名: 学号: 年级: 11级电气一班

电梯控制课程设计 一.设计题目:电梯控制课程设计。 二.控制要求: 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1-S4,用以选择需要停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,L4为四层指示,SQ1-SQ4为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层上升呼叫按钮,电梯才响应呼叫(从一层运行到三层),按三层下降呼叫按钮无效;反之,若电梯停在四层,在三层轿厢外呼叫时,必须按三层下降呼叫按钮,电梯才响应呼叫(从四层运行到三层),按三层上升呼叫按钮无效,以此内推。 三.PLC的选型: 本次课程设计选用的PLC的型号为FX1N-40MR, FX1N系列是一种卡片大小的PLC,适合在小型环境中进行控制。它具有卓越的性能、串行通讯功能以及紧凑的尺寸,这使得它们能用在以前常规PLC无法安装的地方。输入点:24 输入点:16 继电器输出。它具有如下特点: 1.系统配置即固定又灵活; 2.编程简单; 3.备有可自由选择,丰富的品种; 4.令人放心的高性能; 5.高速运算; 6.使用于多种特殊用途; 7.外部机器通讯简单化; 8.共同的外部设备。 产品功能如下: 1、内置式24V直流电源:24V、400mA直流电源可用于外围设备,如传感器或其它元件。 2、时钟功能和小时表功能:在所有的FX1N PLC中都有实时时钟标准。时间设置和比较指令易于操作。小时表功能对过程跟踪和机器维护提供了有价值的信息。 3、持续扫描功能:为应用所需求的持续扫描时间定义操作周期。 4、输入滤波器调节功能:可以用输入滤波器平整输入信号(在基本单元中x000到x017)。 5、元件注解记录功能:元件注解可以记录在程序寄存器中 6、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 7、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 8、远程维护:远处的编程软件可以通过调制解调器通信来监测、上载或卸载程序和数据 9、密码保护:使用一个八位数字密码保护您的程序。

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

数据结构课程设计报告--电梯模拟

课程设计 课程名称数据结构 题目名称 2.8电梯模拟(难度5) 学生学院计算机学院 专业班级 XXXXXXXXXX 学号XXXXXXXX 学生姓名 XXX 指导教师 XX 2013年7月4日

电梯模拟系统 一、简单介绍 1、问题描述 设计一个电梯模拟系统,这是一个离散的模拟程序,因为电梯系统是乘客和电梯等“活动体”构成的集合,虽然他们彼此交互作用,但他们的行为是基本独立的,在离散的模拟中,以模拟时钟决定每个活动体的动作发生的时刻和顺序,系统在某个模拟瞬间处理有待完成的各种事情,然后把模拟时钟推进到某个动作预定要发生的下一个时刻。 2、基本要求 (1)、模拟某校五层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。五个楼层由下至上依次称为地下层、第一层、第二层、第三层,第四层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 (2)、乘客可随机地进出于任何层。对每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 (3)、模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出时,电梯每隔40t测试一次,若无人进出,则关门;关门和开门各需要20t;每个人进出电梯均需要25t;如果电梯在某层静止时间超过300t,则驶回1层侯命。 (4)、按时序显示系统状态的变化过程:发生的全部人和电梯的动作序列。 二、设计目的 当初之所以选择做电梯模拟这个课题,主要是因为觉得当今时代需要,所以觉得想尝试一下,因为为了方便,我们都会选择乘坐电梯,既省时间又省力,粗略看了书本里面的描述,觉得应该不难,只是按照要求输出动作序列即可,后来经过老师的辅导,才发现,原来自己选了个最难的课程设计,也问了同学,很多都是因为太难了才没有选这个题目,因为它远比想象中的复杂的多很多,它实际上是模拟现实中的电梯的运行状况,因此要考虑很多现实中遇到的实际情况,坐过电梯的人都会应该有点印象,现实中的电梯是按照每个人按下按钮的时间顺序进行服务的,但是,并不是将一个人接进来后就直接开往其目的楼层就完事,其实,当电梯将某个人送往其目的楼层的过程中,经过某层时,如果此层的人也要用电梯,虽然其按按钮的时间有可能比先前那个人晚,但是电梯依旧会开门将其接入,然后继续送前一个人去目的地,之后再送这个人去他的目的楼层,如果有多人在电梯中时,也是按照申请时间的先后决定先去哪层的,同理,若在某层,电梯中的某个人,或者某几个人要在该层下,电梯也不能忽略这些,而是要先开门使要下的人出去,之后继续开往先前的楼层,所以设计时,每当电梯上升或下降一层后,都应该检测现在电梯所处的这层有没有人有用电梯的请求,或者电梯中的人有没有要在该层下的情况,若有则电梯要在该层停一下,否则继续上升或下降…. 此外,当电梯停在某一层时不外乎三种情况:1.此层有个人{或有几个人}等候要用电梯 2.电梯中的某个人或者某几个人要在该楼层下 3.则是前面两种情况的结合,此时情况相当复杂,因为,题目要求电梯开门和关门都要消耗一定的时间(题目中没有提电梯上升或者下降一层需要多少时间,所以不妨就假定为30t),而且人进电梯和人出电梯时也要消耗一定的时间,这说明了当同一时刻有至少两个以上的人要进行同一种动作的时候(比方说都是要出电梯,或都是在某一层等电梯,电梯来了后都要进去),但是因为每个人进出电梯都要消耗时间,所以不能单纯的同时让这些人同进或同出电梯,而是要有先后顺序的进出,此为其

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

施耐德PLC 四层电梯 课程设计报告

目录 摘要 课程设计任务书 一课程设计要求 (2) 1.1 课程设计目的 (2) 1.2 课程设计要求 (2) 二实验设备及选型 (2) 2.1 实验设备 (2) 2.2 四层电梯介绍 (2) 2.3 设备选型 (3) 三四层电梯的硬件设计 (5) 3.1 四层电梯的原理框图 (5) 3.2 曳引电机、门电机正反转的原理图 (7) 3.3 四层电梯PLC实际接线图 (7) 四四层电梯PLC程序设计 (9) 4.1 设计思想 (9) 4.2 输入/输出端子的分配 (9) 4.3 梯形图(图及说明) (10) 五实验操作步骤 (17) 六结论 (18) 6.1 实验结果 (18) 6.2 设计中遇到的问题 (18) 七设计体会 (19) 参考文献 (20)

一、课程设计目的及要求 1.1 课程设计目的 1、通过对工程实例的模拟,熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉四层楼电梯内外按钮控制的编程方法。 1.2 课程设计要求 1、开始时,电梯处于任意一层。 2、当有外呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时3S后自动关门。 3、当有内呼梯信号到来时,电梯响应该呼梯信号,到达该楼层时,电梯停止运行,电梯门打开,延时3S后自动关门。 4、在电梯运行过程中,电梯上升(或下降)途中,任何反方向下降(或上升)的外呼梯信号均不响应,但如果反向外呼梯信号前方向无其它内、外呼梯信号时,则电梯响应该外号,但不响应二层向下外呼梯信号。同时,如果电梯到达三层,如果四层没有任何呼梯信号,则电梯可以响应三层向下外呼梯信号。 5、电梯应具有最远反向外梯响应功能。例如:电梯在一楼,而同时有二层向下外呼梯,三层向下外呼梯,四层向下外呼梯,则电梯先去四楼响应四层向下外呼梯信号。 6、电梯未平层或运行时,开门按钮和关门按钮均不起作用。平层且电梯停止运行后,按开门按钮电梯门打开,按关门电梯门关闭。 二、实验设备及选型 2.1 实验设备 THPBA-2 网络型楼宇综合系统实验装置一台 THPBA-DT型四层电梯模型一台 施奈德可编程控制器PLC一台 计算机、编程电缆一根、导线若干 2.2 四层电梯介绍 四层电梯的实物平面图,如图2.1

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

电梯控制系统(Java课程设计)内容

A1 系统描述 1.功能描述 本电梯系统用来控制一台运行于一个具有N层的大楼电梯,它具有上升、下降、开门、关门、载客的基本功能。 大楼的每一层都有: (1)两个指示灯这两个指示灯分别用于指示当前所在的层数和电梯的当前状态(上行、下行或停止); (2)电梯锁用于将本层的电梯门锁住,并使本楼层的电梯按钮失效,电梯里相应的按钮也失效,使得电梯不能也不可能停在本层; (3)按钮除了第一层和顶层,每一层都有两个按钮(上行、下行),乘客可以呼叫上楼或下楼,顶楼只有一个下楼按钮,而第一层只有一个上楼按钮。 电梯里面具有: (1)标示从“1”到“N”的N个按钮,用于让乘客选择所要的层数; (2)关门按钮当乘客按下此按钮时,电梯门如果开着将关上,否则不执行任何操作;(3)开门按钮当乘客按下此按钮时,电梯如果停在某一层,电梯门将打开,否则不执行任何操作; (4)超重测试和警报装置电梯的地面有超重感应装置,当电梯载重达到某一个值时,电梯“超重警报铃”发出超重警报,并且不执行关门命令。 2.关键实现方法描述 用“最大距离循环”来说明电梯的运行方式。也说是说,电梯处于上行状态时就一直上行直到不再有上行任务,电梯处于下行状态时就一直下行直到不再有下行任务。 用两个队列来实现电梯的调度,电梯根据这两个队列发送来的楼层号作为目的地进行运行。在上行队列中保存了所有的上行请求的楼层号(包括楼层的呼叫和电梯里的楼层按钮请求),即保存电梯上行时需要停的楼层号。队列排列规则是:高于或等于电梯当前所地楼层的上行请求的楼层号从小到大排在队列的前部分,低于电梯当前所地楼层的上行请求的楼层号从小到大排在队列后部分。如果新请求的楼层号被插在队列头时同时将这个楼层号发送给电梯作为它的目的地。在下行队列中保存了所有的下行请求的楼层号(包括楼层的呼叫和电梯里楼层按钮请求),即保存电梯下行时需要停的楼层号。队列排列规则是:低于或是等于电梯当前所在楼层的下行请求的楼层从大到小排在队列前部分,高于电梯当前所在楼层的下行请求的楼层号从大到小排在队列后部分。 当电梯正在上行时,如果上行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起队列头的改变。 (1)新的请求插入到上行队列头。 (2)电梯到达某个楼层将这个楼层的请求从上行队列的队列头删除,后继的更高楼层号成为对列头。 当电梯正在下行时,如果下行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起下行队列头的改变。 (1)新的请求插入到下行队列头。 (2)电梯到达某个楼层将这个楼层的请求从下行队列的队列头删除,后继的更高楼层号成为对列头。 在电梯上行过程中,当上行队列中没有比电梯当前所在的楼层更高的楼层号时,发送电梯目的地的开始从下行队列中取得。同样,在电梯下行过程中,当下行队列中没有比电梯当前所在的楼层更低的楼层号时,发送电梯目的地的开始从上行队列中取得。如此反复,当两个队

电梯课程设计报告

课程设计说明书(论文) 题目电梯控制课程设计 课程名称电梯控制技术 院系电力工程学院 专业 班级 学生姓名 学号 设计地点 指导教师 设计起止时间:年月日至年月日

前言 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 本文通过研究电梯实物模型,可编程控制器,了解模型的结构,了解PLC的I/O,并在此基础上设计单台四层电梯曳引系统;设计开关门系统;设计电梯楼层召唤,轿厢命令响应系统;设计电梯楼层控制逻辑;设计电梯控制继电器原理图等。

目录 一、绪论 (11) 1、电梯基本知识 (11) 2、PLC基本知识 (11) 二、电梯控制系统的硬件设计 (12) 1、四层电梯控制上下行主电路 (12) 2、电梯门开关主电路 (13) 3、四层电梯控制电路图 (13) 5、四层电梯模拟控制面板 (15) 三、电梯控制系统的软件设计 (16) 1、四层电梯控制要求 (16) 2、电梯运行流程图 (16) 2、输入/输出的分配如下 (17) 3、PLC内部字、存储位分配表 (18) 4、四层电梯控制程序梯形图 (19) 四、结语 (23) 五、附录........................................................................................................... 错误!未定义书签。 1、电梯课设任务书......................................................................................... 错误!未定义书签。

(完整版)毕业课程设计电梯结构设计

目录 1.绪论 (1) 1.1电梯的发展历史 (1) 1.2CAD造型技术 (1) 1.3有限元分析技术 (3) 1.4本课题主要任务 (4) 2.方案总体设计 (6) 2.1电梯的组成及其工作原理 (6) 2.2电梯的工作原理 (7) 2.3方案总体设计前的准备 (8) 2.4主要内容和要求 (8) 3.电梯主机承重梁结构设计 (10) 3.1.电梯主机承重梁受力分析 (10) 3.2承重梁不同工况下受力计算 (12) 3.3有限元建模计算 (13) 4.有限元的承重梁结构优化设计 (20) 4.2优化设计基本过程 (20) 4.3优化计算 (21) 4.3.1建立数学模型 (21) 4.4结果分析 (22) 结论 (24) 致谢 (24)

参考文献 (24)

1.绪论 随着电梯应用的普及,电梯的安全及强度计算成为电梯设计中的关键问题。电梯设计中应用有限元分析软件,对电梯关键部件进行相应的分析优化,以对电梯的安全运行提供有效保障。 1.1电梯的发展历史 1854年,在纽约水晶宫举行的世界博览会上,美国人伊莱沙?格雷夫斯?奥的斯第一次向世人展示了他的发明。他站在装满货物的升降梯平台上,命令助手将平台拉升到观众都能看得到的高度,然后发出信号,令助手用利斧砍断了升降梯的提拉缆绳。令人惊讶的是,升降梯并没有坠毁,而是牢牢地固定在半空中——奥的斯先生发明的升降梯安全装置发挥了作用。“一切安全,先生们。”站在升降梯平台上的奥的斯先生向周围观看的人们挥手致意。谁也不会想到,这就是人类历史上第一部安全升降梯。 生活在继续,科技在发展,电梯也在进步。150年来,电梯的材质由 黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新一一手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等等,多台电梯还出现了并联控制,智能群控;双层轿厢电梯展示出节省井道空间,提升运输能力的优势;变速式自动人行道扶梯的出现大大节省了行人的时间;不同外形扇形、三角形、半菱形、半圆形、整圆形的观光电梯则使身处其中的乘客的视线不再封闭。如今,以美国奥的斯公司为代表的世界各大著名电梯公司各展风姿,仍在继续进行电梯新品的研发,并不断完善维修和保养服务系统。调频门控、智能远程监控、主机节能、控制柜低噪音耐用、复合钢带环保——一款款集纳了人类在机械、电子、光学等领域最新科研成果的新型电梯竞相问世,冷冰冰的建筑因此散射出人性的光辉,人

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

基于FPGA的电梯控制器设计

唐山学院 EDA技术课程设计 题目基于FPGA的电梯控制器设计 系(部) 信息工程系 班级 姓名 学号 指导教师 2013 年1 月7 日至1 月11 日共 1 周 2013年1 月10 日 《EDA技术》课程设计任务书

课程设计成绩评定表

目录 1 前言 (1) 2 EDA技术介绍 (2) 2.1 EDA技术简介 (2) 2.2 EDA技术的发展 (2) 3 电梯控制器设计 (3) 3.1 电梯控制器设计总体框图 (3) 3.2 电梯控制器设计思路 (3) 3.3 电梯处于各楼层的具体分析 (4) 3.4 电梯外部端口具体说明 (6) 3.4.1 定义各个端口 (6) 3.4.2 引脚锁定 (6) 4 仿真结果与说明 (8) 5 总结 (10) 参考文献 (11) 附录程序代码 (12)

1 前言 当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(“早期安装的电梯多位继电器控制系统”)、FPGA/CPLD的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 随着EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。采用EDA设计,拥有电子系统小型化、低功耗、高可靠性、开发过程投资小、周期短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般方便快捷。本次设计就是应用EDA电子电路技术来设计电梯控制器,从而使用一片芯片就可以实现对电梯的控制的。

数字电子技术 课程设计报告 电梯控制2

电 子 技 术 课 程 设 计 课题名称:电梯控制 学院:电气学院 专业:电子信息工程 姓名: 指导教师:谢贝贝 学号:

目录 摘要,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 2第一章设计目的及意义,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,3 1 目的,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, ,3 2 意义,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 3 第二章整体设计,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,.,,,,,,,,,,,,,,,,,4 1设计目标,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 2 设计要求 (4) 3 整体设计流程图,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,5 第三章电梯控制系统的实现,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,.,,,,,,6 1 实现功能 (6) 2 实现电路 (6) 1 楼层的输入电路 (6) 2 555定时器 (6) 3 楼层显示电路 (7) 4 四层电梯控制系统实现总电路 (8) 3 主要原器件介绍 (8) 1 译码器 (8) 2 数码管 (9) 3 D触发器 (10) 第四章实验总结,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,.,,,,,11 1 设计中主要出现的问题 (11) 2 尚待解决的问题 (11) 3 心得体会 (11) 4 参考文献 (12)

简易电梯控制系统-(2)电子教案

湖南涉外经济学院课程设计报告 课程名称:数字电路 报告题目:电梯楼层显示控制器的设计学生姓名:1111 所在学院:信息科学与工程学院 专业班级:通信1202班 学生学号:111 指导教师:111

2013 年12 月25 日 课题三:电梯楼层显示控制器的设计

摘要 本次设计的主题是关于用中小规模集成芯片世纪一个电梯控制器,在日益发展的现代化社会中,电梯随处可见已经完全普及到人们的生活中,于是我选择了这个课题。电梯控制器要求:用中小规模集成电路设计、用一位LED数码管显示电梯楼层位置;能响应每层(共8层)楼电梯按钮的呼唤,电梯自动行进到所需位置,停留2S,发出开门信号。然后扩展功能是用发光二极管点阵作为显示电路,显示电梯动态的上下状态。 关键词:电梯;芯片;LED

目录 摘要 ................................................................................................................................................ I II 一、设计目的、要求及意义 (1) 1.设计目的 (1) 2.设计目标 (1) 3.设计要求 (1) 4.设计意义 (2) 二、电梯控制系统的实现 (2) 1 .实现功能 (2) 2. 实现电路 (2) 四、总原理图及元器件清单 (4) 1.总原理图 (4) 2. 学生情况统计表 (5) 3.材料清单及工具 (5) 五、结论与心得 (6) 六、参考文献 (7)

一、设计目的、要求及意义 1.设计目的 (1)重温自己已学过的数电知识; (2)掌握数字集成电路的设计方法和原理; (3)熟悉555方波振荡器的应用,编码器、比较器、计数器的应用、译码、显示电路的整体配合; (4)通过完成该设计任务掌握实际问题的逻辑分析,学会对实际问题进行逻辑状态分配、化简; (5)掌握数字电路各部分电路与总体电路的设计、调试、模拟仿真方法。2.设计目标 (1)系统控制的电梯往返于1 ~8 层楼。 (2)乘客要去的楼层可以手动输入并显示。 (3)电梯运行的楼层可自动显示。 (4)当A>B 时,系统能输出使电梯正向运行的时序信号,使电梯上升。(5)当A

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

相关文档
最新文档