Cool Edit Pro V2.1 简体中文版操作

Cool Edit Pro V2.1 简体中文版操作
Cool Edit Pro V2.1 简体中文版操作

Cool Edit Pro——乐器操作

首先声明,我们下面录制的歌曲是以一般的摇滚歌曲为范本,配器也相对简单,但只要掌握了软件的用法,再复杂的音乐也一样轻松录制.

本文包括如下步骤:

1.打点

2.设置录音环境

3.吉他,贝斯的录制

4.从Cakewalk中调用弦乐midi

5.做鼓,录制人声

6.一些使用窍门

7.单轨的分别编辑

8.整体混音

第一步:打点

Cool Edit Pro里面没有节拍器,很多朋友都是一边开着cakewalk等软件,放着节奏,然后录音,这样就出现了"同步"的问题.如果搞不好,在后期制作中就要费很大力气去移动,拼贴波形.

骑士从来不会面对这些问题,因为我最讨厌去用鼠标把音频块移来移去,然后一遍遍的听位置是否合适,太累了.我也对"同步"这个概念避而远之.那么,我是怎么作的呢?

首先,用一个鼓机软件根据歌曲的速度做一个节拍.这里的鼓机软件我推荐Hammer,我也一直用它,很小的绿色软件,只有1兆大小,但是非常好用,音色也相当硬朗有力.(这个软件这里先不介绍太多,实际上它的作用不仅仅是个打点的工具)当然,DT-10和Fruityloops也可以,只是觉得没有必要用那么专业的软件只是来做一个节拍.一定有人说:"Hammer是什么,我没有听说过,也没有见过!!",很好,那么现在立即去https://www.360docs.net/doc/e57255705.html,下载吧.

好,现在假设我们的歌速度是120.或者你也可以打开鼓机软件,边弹琴(唱歌),边调节节拍速度直到你觉得合适为止,这时软件上显示的速度,就是你歌的速度.

请看,这就是Hammer的界面:

Tempo就是速度,这里根据我们要录的歌,设为120.

底下那一排主要设置的是鼓点,我设置的是最简单的"洞达,洞洞达".

速度定好以后,看图上的那个下拉菜单,选中"Stream to Disk"项,把节拍存成一个.wav文件.这个文件非常重要,它将在Cool Edit中大展身手,成为以后录制的所有音频的模范-------这个后面自然会得到证实:).

注意在存储的对话框里,"Stream Type"中一定要选"Current measure",只保存一个小节.如图:

对了,还有一点需要提醒:在存储鼓点文件的时候,最好把文件名存为"120.wav",即以速度为文件名,这样你永远不会忘了你的歌曲的速度了.

这个节拍文件到歌曲最后混音的时候就没有用了,但在录音和前期剪辑的时候,还是很有用的.所以,最好选个好听点的节奏和鼓的音色,免得你录音的时候耳朵遭罪,还影响弹琴或者唱歌

的发挥:)

有了节拍,就可以打开Cool Edit Pro,准备录音了!

第二步: 设置录音环境

打开Cool Edit Pro,进入多轨录音状态.(如果默认是单轨环境,按一下F12键即可切换,或者点击如图的箭头所指的切换按钮)

多轨状态

单轨状态

首先要做的,就是把我们前面做好的节拍文件插入在第一轨里面,作为一个参照轨.(怎么"参照"后面讲)

如图所示,在箭头1所指的黄线处点击鼠标右键,然后在弹出菜单里面选择"插入----wave from File...",如图箭头2所指.

然后在对话框里面,选择保存过的那个"120.wav"文件.

这时候,在第一轨里面将插入了一个波形文件----120.wav

下来要做的是,将这个节奏在第一轨里面复制多次,请看下图:

在那个节拍波形上右键点击,在弹出菜单里选择"循环重覆".

然后在对话框里面填写你打算重复的遍数.

你可以根据你歌曲的长度选择循环多少遍.或者可以先重复几小节,以后需要的时候,再循环也可以.

我们这里先循环20遍,大约有42秒左右.

如图,第一轨显示的就是经过循环设置的节拍了.

看下面的三个箭头所指:

1.当按下这个按钮,整个工作区内的所有波形都可以显示在视图里面.可以很方便的总览整个多轨环境.

2.横向缩短视图,每个波形相应的缩短.

3.横向伸长视图,每个波形相应的伸长.

(注:如果歌曲比较长,或者轨数特别多,有时候视图里容不下所有的波形,当你想看全部的波形时,就只要按一下箭头1所指的按钮即可,非常方便)

下来,就可以开始录第一路乐器了.

假设我们要在第2轨录制一把节奏木吉他.那么如图箭头1所指,首先将第2轨设置为"待录音"状态.

然后拿出乐器,摆好麦克风,设置好声卡的录音选项,一切就绪.

(一些常识:外录的时候,要戴耳机来听节拍器,而不要让节拍的声音通过音箱外放.否则就把节拍声也录到你的吉他里面去了)

现在,按下箭头2所指的录音键,开始录音.耳机里听见第一轨里的节拍声音了吗?

弹琴的时候可要卡稳拍子哦:)

具体的录音,根据歌曲的不同,乐器的不同,变化也就很多了.下面具体分析一下......

第三步:录制吉他,贝斯

如果你的技术过硬,耐力也不错,那么例如节奏木吉他,完全可以一口气录完.当然,在这之前,你应该把节拍循环设的足够长,使得你录音的时候,始终有节拍帮你卡速度.

假设要录的歌里,从头到尾都有一把木琴扫弦,歌曲长度为3分钟.那么你必须把第一轨的节拍再多循环上一些遍数,使得节拍长度至少有3分钟.

如图,在第一轨的节拍波形组的最后一个上点击右键,再重覆循环60遍.

Cool Edit允许一次最多循环64次,如果不够,可以多次重复这个步骤,但要记住每次都要在最后一个波形上进行循环选择.否则,新生成的循环将和以前的重叠,使得声音变大一倍.

现在就可以录音了,如图中红色的就是录进去的波形,一般在演奏前应该先空出几个小节,一方面让自己先听听节奏,找找感觉,另一方面,可以录进去一段环境噪音,为后期进行采样降噪获取样本.

图中显示的是已经录制完毕的木吉他波形.如果你确认满意,就双击这个波形进入单轨模式,选择"保存",存成一个文件,当然,文件名最好能代表这段波形的内容,比如这里就存为"木吉他".

如果你录完一听觉得节奏不稳,或者有些地方弹的不满意,那么在红色波形上点一下,再按键盘上"Del"键,就可以删除掉它,重新录制即可.

另外,注意图中那条黄线,它控制着你播放和录音的开始位置.如果你是从头开始录,那么记得按下录音键之前先把黄线拉到最左边.

某一轨音频录制完成以后,把该轨的"待录音"键复位,红色的波形将变为绿色.如果你忘了这件事,那么在你录制下一轨的时候,这一轨也会受到影响.所以切记,不要忘了保存已完成的音频,不要忘了把该轨波形"变绿".

其实,一段吉他录的不好,靠后期的编辑,剪切,拼贴也许可以修补的好一些.但是我不赞成这么做,因为:

1.这种音频编辑一般都要耗费大量的时间.必须不断的听,不断的试,而且往往费了很长的时间,发现根本就无法修补.对于缺乏经验的人,有时候无异于一场噩梦!

2.经过拼贴的波形,总会有一点点不自然,即使花再大力气,也总有不完美的痕迹.

3.既然,又花时间又效果不佳,为何不干脆重新弹一遍呢?有那些编辑的时间,不妨重录上几次,得到一个让自己满意的结果,同时还练了弹琴的节奏感,何乐不为?

反正骑士从来不费劲去修补不完美的音频,为了好的效果,我常常把一段吉他录上几十遍乃至百遍,以求满意.

好了,现在节奏吉他已经录好了,下来开始录贝斯吧!

有的朋友弹琴的耐力不行,往往是几十秒内节奏稳健,音色控制超群,时间一长,手上就容易乱.这样,总是不能一口气录好几分钟的音乐.解决这个问题,可以采用分段录,然后接起来的办法.

另外,在录重复较多的乐段,比如不断循环的Riff段时,可以采用"Loop复制法",减轻很多工作量.

如下介绍:

如图,假设你一口气录完了一段贝斯,这段音乐是以8个小节为周期循环.

结果发现质量越往后面越不好,只有第一次循环质量过关,那么,可以只保留第一遍循环,然后重覆循环,后面的就不用弹了:)

好,现在横向放大波形,首先将第一遍循环前的无声部分选中.这里就可以看出第一轨的节拍波形的作用了!

用鼠标左键在该波形上,划出2个小节,以第一轨的节拍为尺度,非常方便并且绝对准确,不会有任何偏差.如果觉得看的不清楚,可以再横向放大一些.

只要你是从整拍开始录起,那么剪切等操作只要用第一轨的每个波形为参照,那么就是绝对精确的.就是把波形放大到极限,也是精准的!

呵呵,现在明白为什么我要说那个"120.wav"是很有用的了吧.它的作用还大着呢,后面还会接触到.

按"Del"键把划中的那部分亮红色波形删掉.

这张图显示的是切除后面的波形,方法和前面一样.

从第9个小节开始,将后面的全部波形划成亮红色,按Del删掉.

注:这里的"删掉"实际并没有删除那部分波形,只是在多轨模式下使其消失,并且不发声.

如果你在单轨模式下打开这段贝斯音频,会发现依然是完整的.

这样的好处很大哦,如果发现切除的不合适,随时可以无损恢复,不会造成不可挽回的局面.这也是Cool Edit多轨编辑的一个优势,要好好利用哦:)

现在,在这个贝斯波形上右键选择"循环重复",和第一轨的操作完全一样,你可以控制循环的次数,轻松完成贝斯的录制.

对于懒人,这个方法简直太方便了.比如我有一首歌,吉他从头到尾都是C,Am,F,G这四个和弦以相同的节奏型不断循环,那么我只需要录2遍循环,甚至只录一遍循环,然后循环复制上N遍就完工了,绝对的轻松惬意.

(当然,那样出来的效果你可不要嫌单调,谁让你懒?!?!)

如图,就是将贝斯段循环后的结果显示.你可以将这些段合并,但是我不建议那么做.

原因是,在后面的混音中,因为这些段都是同一个文件,所以只要你调整好一个的EQ,混响,动态等效果,其余的都会一起跟着变.

而如果把它们合并的话,合并后的波形文件就会变大,这样可是会大大影响混音的效率哦.

好了,通过这2轨的录制,我想录音的步骤你该了解的差不多了吧,下面记得把贝斯这一轨"变绿",然后在第四轨中,如法炮制,录进主音吉他.

第四步:做MIDI,并导入Cool Edit Pro中

除了坚持传统三大件乐器的朋克,一般的歌曲中,总少不了点钢琴,弦乐什么的.即使是比较传统的摇滚乐,如果要想听起来比较丰满,最好也有一层键盘做铺底.当然这些涉及到编曲的思路,这里就不展开探讨了:)

这一部分的讲解比较费事,我虽然脑子里很明白,可要讲的让每个人也和我一样思路清晰却未必容易.所以,这部分我尽量讲的细一点.要记住,这一课可是考试的重点哦,大家在学习的时候,

请把重点的地方用红笔画下来.并作好笔记!

最好的办法就是打开软件,照着我的步骤过一遍,这样理解的更透彻也记得更深.

已经录完了吉他,贝斯,我们现在需要一轨的弦乐铺底,而且我们不会拉提琴,也没有键盘,或者手头没有这些乐器,这时候,自然要用到midi了.不知道大家用什么软件做midi,反正我只会用Cakewalk,这也是我最早接触的电脑音乐软件,因为它带我入了门,骑士至今对它依然充满感情.不过,鉴于我的midi水平实在一般,所以具体做midi的细节,就不多说了,呵呵.我们还是来详细谈谈和Cool Edit有关的部分吧!

打开cakewalk,新建一个文件.因为我们用它只是为我们的歌曲做一轨键盘而已,而且结果是要导入Cool Edit来编辑混音的,所以可以不用保存wrk文件.

把歌曲速度设置为120,然后先做个节奏,帮助你做键盘.

如图第1,2轨的黄色条,分别是作好的鼓和镲,都是最基本的节奏,只是作为打点用了.

做键盘的时候,一般都要有其他乐器的伴奏,所以我们在第三轨插入刚才已经录好的节奏吉他波形文件.(插入波形的方法如图箭头所示) ,就是那个绿色的长条:)

现在可以做midi了,具体的做法我就不讲了,这是另一个范畴,也涉及到Cakewalk的使用和技巧了,大家可以参考专门的文章.

好,现在如图,红色的第四轨是我们已经作好的键盘midi.

经过检验试听,如果一切都觉得合适了,那么现在就要想办法把它输入Cool Edit了.

众所周知,Cool Edit Pro是专门的音频处理软件,不支midi.所以很多朋友面对把Cakewalk与Cool Edit结合的问题时候,总是很头痛.如果在cakewalk中播放,用Cool Edit来内录,就会出现所谓"同步"的问题,你很难在两个软件之间保证起始位置无偏差的录制.这样录好的波形,你需要在Cool Edit里仔细调节位置,有时候会耗费很大的工作量却依然包含偏差.

现在我讲讲自己的办法,也许不是最好的,但是我觉得很实用,而且也很方便,好理解.

思路是这样的,我们首先在Cakewalk里把作好的midi内录成音频,然后把这个音频文件导入Cool Edit即可.请看图所示,我们把除了要导入的轨(在这里是红色的第三轨)以外的音轨设置为静音(或者也可以把第3轨设置为"独奏"状态). 把第5轨设置为"待录音'状态.

然后用鼠标控制,使得2个箭头所指的那根竖线停在某一整拍上(当然要在红色轨前面的某一整拍,至少与之同时).

图文详细教程教你如何用Cool_Edit_Pro_2_1录音1

首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。然后下载我们要用到的工具COOL EDIT PRO 2.1及其插件。 Cool Edit Pro 2.1 下载 1、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 2、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。

3、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件,可以是mp3,也可以是wav等其它音乐文件的格式。

4、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 5、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图:

6、我们录制的声音首先要进行降噪,虽然录制环境要保持绝对的安静,但还是会有很多杂音的。点击效果中的降噪器,我们在上面已经进行过了环境的噪音采样,此时只需点击“确定”,降噪器就会自动消除你录制声音中的环境噪音,你也可以打开“预览”自己拖动直线来进行调整直到满意为止。加一句,过多的降噪会对声音有一定的损失。

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

cooledit使用教程

C o o l E d i t P r o2.0录音图解教程 特别感谢网友川江魅影和戈壁提供指导整理/飞虹C o o l E d i t P r o2.0录音图解教程 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来 听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的m i c调试好。然后下 载我们要用到的工具C O O L E D I T P R O2.0及其插件。 c o o l e d i t p r o2.0下载(带汉化 注册机) c o o l e d i t2.0汉化 U l t r a f u n k插件下载 B B E S o n i c M a x i m i z e r插件下载b b e序列号:S M V100W1002507 W a v e插件 插件4 插件5 1、安装好c o o l e d i t p r o2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“D X”的文件夹, 把所有的插件都安装释放到这里。 2、打开c o o l e d i t p r o2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点 亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开 始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在M I C一栏中选中,其它的不要选择,因为我们要录的只是自己要歌 唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很 长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关 闭”。回到多轨模式下删除此轨。 以是m p3,也可以是w a v等其它音乐文件的格式。

Cool Edit Pro一些使用技巧

Cool Edit Pro一些使用技巧 Cool Edit Pro一些使用技巧 出处:多特软件站时间:2008-09-03 人气:62290我要提问我来说两句 核心提示:如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。 如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。你能使用它记录你的自己的音乐,声音或另外的声音, 编辑它, 与另外的声音或音乐的部分混合它, 象Reverb ,合唱团,并且回响一样增加效果到它,equalize 它,并且主人它以便你能烧它到CD ,在万维网上邮寄它,或发电子邮件给它。一旦你开始,你将在你能完成的被惊奇! 下面,我们以一段吉他音频的编辑为例,介绍Cool Edit Pro的编辑功能.至于其他的贝斯,人声等,编辑方法基本一样. 如图,是一段没有经过编辑的木吉他节奏波形.红线所指,是开

始弹奏前预留的一段空白噪音.这段噪音的预留,是为了现在进行采样降噪做准备的. 首先谈谈降噪的过程: 我们录进电脑里的波形,一定会存在有噪音。对各种噪音,有各种解决办法. DC Offset(直流偏移)是由一些麦克风或者声卡造成的,表现现象就是波形没有处于水平线正中部位,在纵向有一定偏移. 它的处理方式最简单,一般的音频软件都可以很容易去除.如Sound Forge里,只要在"process"菜单里选择"Dc Offset"就可以了. 而在Cool Edit Pro中,则在"转换"菜单里选择"Amplify",如下图所示: 点红线所指之处,会出现下面的对话框 选中"Enable DC Bias Adjust"前的勾,然后在红线指处填进去"0",然后选择"OK"就可以了.而背景噪音是一般个人电脑录音中最大的问题,因为房间隔音能力差,环境不安静造成各种各样的背景噪音.如声卡的杂音,音箱的噪音,家里电器的声音,电脑的风扇,硬盘..., 采样降噪是目前比较科学的一种消除噪音的方式,它首先获

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

Cool Edit 教程--Cool Edit 的安装及参数设置

Cool Edit 教程--Cool Edit 的安装及参数设置 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。 然后下载我们要用到的工具COOL EDIT PRO 2.0及其插件。现在很多的音乐制作人都在使用这个超级工具。闲话少说,与本次介绍给大家无关的不提,我们现在开始。 1、安装好cooledit pro 2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“DX”的文件夹,把所有的插件都安装释放到这里。 2、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,双击电脑桌面右下角的音量图标,打开后点选项中的属性如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。另,也可以在单轨下截取音轨空白的部分获取噪音进行降噪。

4、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件, 可以是mp3,也可以是wav等其它音乐文件的格式。

5、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 6、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图

CoolEditPro20详细指导[1-4](图文)

Cool Edit Pro 2.0详细教程[1-4](图文) 系统介绍一下用Cooledit pro 2.0录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要 重新录制(图4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6 图7),以前的介绍中是让大家存为wav格式,其实mp3 也是绝对可以的,并且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

CoolEdit2.0使用教程

Cool Edit Pro v2.0实验手册 实验一安装注册 Cool Edit是一款功能强大、效果出色的多轨录音和音频处理软件。它是一个非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WA V 等文件格式之间进行转换,并且能够保存为RealAudio格式。 实验目的 了解Cool Edit的功能 掌握Cool Edit2.0及相关插件的安装过程 实验内容 1.1安装 步骤一:运行cep_v2.0 setup.exe安装Cool Edit Pro v2.0。勾选“同意许可协议”后点击“Continue”继续,来到友好欢迎界面(图1.2)。 图1.1 图1.2 步骤二:安装路径,默认为:C:\Program Files\coolpro2,可以通过“Browse”另择安装

路径。这个安装路径很重要,在后面的注册、汉化操作中都会涉及到。 图1.3 图1.4 步骤三:路径设置完毕,在图1.5中可以看到我设置的路径为:D:\Program Files\coolpro2。点击“Next”进入下一步操作(图1.6)。这里是要我们选择将来软件关联的音频格式,默认中已经准备了很多,我们只需点击“Next”即可。 图1.5 图1.6 步骤四:准备安装。当我们看到图1.7所示界面,表示前期工作已经结束,可以安装了。 图1.7 图1.8

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

录音软件cooledit2.1详细使用方法

一、软件简介: CoolEdit PRO 2.1 破解版(功能强大的多轨录音软件)非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WAV 等文件格式之间进行转换,并且能够保存为RealAudio格式。 二、使用说明: 1.运行cep_v 2.0 setup.exe安装Cool Edit Pro v2.0! 一般都会安装到默认的路径 2.运行破解注册程序 cep2reg.exe程序注册,输入注册码: Name: mydaj Code: 200-00-NKLYUBNZ 3.运行cep_v2.1 setup.exe程序安装Cool Edit Pro v2.1! 4.运行汉化程序 Cool2chinese 汉化包安装到上面安装程序的路径下 5.下面是三个效果插件,这些效果插件都有破解和注册码。按默认路径就可以了。请一个一个安装: BBE Sonic Maximizer (serial: SMV100W1002507) ultrafunk2 wave3.0 三、系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 (一)录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma伴奏文件(图1)。

音乐编辑Cool Edit Pro简单教程

Cool Edit Pro 用Cool Edit Pr o消除原唱,方法实际上可以说很简单,然而要想把它做的很完美还是得下点功夫。 我这里说的“消原唱”只是Cool Edit Pro菜单中的一个独立功能,选择并使用就立即出结果。但是要想得到最好的效果,仅仅使用Cool Edit Pr o的“消原唱”菜单功能还是不够滴!!你还得进行跟多细致的音频处理和设置。 无论如何,还是先让我们尝试下最简单的“消除原唱”的方法。 首先进入“单轨编辑模式”界面。用“File”→“Open”调入一个音频文件。我已庾澄庆的《命中注定》为例,文件名为“命中注定.MP3”。调入后选择“Effects”→“Amplitude” →“Channel Mixer…..”(中文版的为“效果”→“波形振幅”→“声道重混缩”),在“预置”中选择“Vocal Cut”见(图1),保持对话框上的默认设置,点“OK”按钮。经过处理后,就得到了《命中注定》这首歌的伴奏音乐。 “Vocal Cut”功能的原理是:消除声像位置在声场中央的所有声音(包括人声和部分伴奏)。所以用此功能主要的还是要看伴奏的来源,混音前是否有乐器和人声放在声场的中央,如果有的话用此功能都会把它给消除掉,造成了音质的衰减。比如说一般声场放在中央的有“主人声”、BASS……等等。如果大家需要消音音频来源是我说的这些原理的来源的话,我还是建议不要使用此功能,这样人声没消掉到把伴奏音乐全给消除了,真让人郁闷呵呵 Adobe Audition 导语:现在很多影碟机和软件都能将有歌声的双声道歌曲消除原唱,但是您会发现消除后伴奏就变成单声道了,并且只针对回声很小的歌曲有效果。而最新版本的Adobe Audition就解决了这个难题,消除了原唱不仅能保持双声道,而且回声较大的歌曲也能将歌声减去很多,且效果较好,甚至还可以将左声道是伴奏右声道是原唱的VCD音频转换成双声道伴奏! 网友们,在充满着音乐的美好的时光里,确实给我们带来了很多的欢乐,动听的歌声打动着我们每个人的心灵,优美的旋律让我们放开心怀,丰富了我们的业余生活。对!我们听着的音乐都是歌星们演唱的,但是,如果有自己心爱的歌曲想自己唱,那就需要原唱歌曲的伴奏了。其实您需要伴奏也可以去音像店里购买歌星的MTV VCD/DVD或者KALAOK VCD/DVD,也可以去网上寻找MP3、MIDI伴奏,除少部分歌曲在音像店或者网上能够找到双声道伴奏外,有一部分歌曲是单声道伴奏,但有一部分歌曲根本就没有伴奏,哎呀,如果我心爱的歌曲我买不到也找不到伴奏那怎么办呢?没关系,这篇文章可以为您解难!另外,有的已做好了的伴奏有导唱旋律声,有的朋友唱歌水平很高,不需要导唱旋律声,如果找不到无导唱旋律声的双声道伴奏的情况下,也可以在下面的介绍中利用原唱歌曲消除原唱保持双声道伴奏,当然,不同的伴奏制作方法有不同的效果,应该根据个人情况决定。这篇文章我们会介绍将已有的单声道伴奏制作成双声道伴奏、将有歌声的歌曲消除原唱制作成双声道伴奏、将伴奏制作成个性化伴奏。

cooleditpro音频基本编辑方法

3、单轨音频基本编辑方法 1. 录音 Cool Edit Pro可以录入多种音源,如:话筒、录音机、CD播放机等,将这些设备与声卡连接好,就可以准备录音了。录音的步骤如下: (1)将话筒插入电脑声卡的麦克风插孔,开启话筒电源。 (2)启动Cool Edit Pro后,切换到波形单轨编辑窗口。 (3)选择菜单[文件][新建],出现“新建波形”对话框,选择适当的采样率,声道数,采样精度,如图4-9所示。 (4)按下操作区的“”按钮,开始录音。如图4-10所示。 图4-9 新建波形图4-10 录音按钮 (5)单击操作区左上角的“”按钮就可以停止录音。 (6)通过单击“”按钮进行试听。 (7)通过菜单[文件][另存为]进行保存,保存时可以选择不同的文件类型,如图4-11所示。

图4-11 录音文件保存 2. 摘录 Cool Edit Pro可以从CD或VCD中摘录声音,现以CD为例,步骤如下:(1)将CD放入光驱中,选择菜单[文件]|[从CD中提取音频]。 (2)在[音轨]下拉文本框中选择要提取的音轨,单击[确定],如图4-12所示。 图4-12 摘录 (3)通过菜单[文件]|[另存为]选择需要的类型进行保存。 3. 其他操作

其他的操作如剪切、复制、粘贴等功能和一般的应用软件很相似。在波形窗口左右声道的交界处拖动鼠标,选中要处理的波形区域,单击右键弹出快捷菜单就可以选择剪切或复制命令,在需要插入波形的地方同样单击右键弹出快捷菜单就可以选择粘贴命令。如果要选中右声道中的波形,则可以将光标移到波形窗口下方边界时,光标显示“R”的时候拖动鼠标,如图4-13所示。同样的,如果要选中左声道中的波形,则可以将光标移到波形窗口上 图4-13 选择右声道中的波形 方边界时,光标显示“L”的时候拖动鼠标。 4、单轨音频效果处理 1. 音量调整 Cool Edit Pro可以在保证不出现声音失真的前提下,对声音进行调整。 操作步骤如下: (1)打开要处理的音频文件。 (2)选择菜单[效果]|[波形振幅]|[渐变]。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

Cool Edit Pro中文说明书

Cool Edit Pro详细教程 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav 也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图 4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro 格式(图6图7),以前的介绍中是让大家存为wav格式,其实mp3也是绝对可以的,并 且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Cooledit2.1(中文版)使用教程

录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma 伴奏文件(图1)。 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图4)

5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6图7),以前的介绍中是让大家存为wav格式,其实wma/mp3也是绝对可以的,并且可以节省大量空间。

(注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

【教程】Cooledit pro 2.1录歌步骤及后期处理

Cooledit pro 2.1录歌步骤及后期处理 介绍 系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 Cooledit pro 2.1软件下载(右键另存) 第一步:降噪音 1 打开ce,先点亮第3轨的R,然后在安静的环境下,不要出声音,先录一小段,时间不用很长,几秒就可以了。

2 然后右键单击第3轨,选择编辑波形,进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样.采样结束 后点关闭,再回到单轨模式下,把第3轨删除.

第二步:录歌 在第1轨插入伴奏,点亮第2轨的R ,开始录歌了哦...录歌结束后,右键单击第2轨,(我个人建议先把原唱保存一下,以便做处理的时候随时调出来用).选择编辑波形,选择效果-噪音消除-降噪器,因为刚才已经对噪音 进行了采样,现在只需点确定就OK了. 第三步:后期处理 在单轨模式下 1:选择效果里的Driectx 里的BBESonicMaximier 会出现3个圆钮,第一个是使声音浑厚的效果,第2

个是清亮的效果,第三个是音量。。。看自己唱的感觉调节。我基本都是默认,直接点确定。。也可以视 听来调节感觉。 2:选择效果里的Driectx 里的Wavesc4 这个是压限,就是使声音听起来平稳,不会忽大忽小。参数如图:

3:选择效果里的Driectx 里的UItrafunkfx 这个插件里的Compressor 参数如图:

4:选择效果里的Driectx 里的UItrafunkfx 这个插件里的Reverb 这个是加混响,参数如图:

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

CoolEditPro使用教程一教程

CoolEditPro使用教程一教程: Cool Edit Pro使用教程 Cool Edit Pro简单好用,效果强大,功能齐全,这些优点就不多说了.下面我们以录制一首多轨的歌曲(配器包括2把吉他,贝斯,鼓,弦乐,人声)为例,一起看看它是怎么使用的. 录音过程讲解里面的很多技巧和手段,都是骑士本人在实践中摸索出来的,希望对大家有帮助和启发. 对文中有任何不理解的地方,或是觉得有争议想和我进一步探讨的话,请访问和我交流,或者Email给我knightcsstone@https://www.360docs.net/doc/e57255705.html, 首先声明,我们下面录制的歌曲是以一般的摇滚歌曲为范本,配器也相对简单,但只要掌握了软件的用法,再复杂的音乐也一样轻松录制. 本文包括如下步骤: 1.打点 2.设置录音环境 3.吉他,贝斯的录制 4.从Cakewalk中调用弦乐midi 5.做鼓,录制人声 6.一些使用窍门 7.单轨的分别编辑 8.整体混音 第一步:打点 Cool Edit Pro里面没有节拍器,很多朋友都是一边开着cakewalk等软件,放着节奏,然后录音,这样就出现了"同步"的问题.如果搞不好,在后期制作中就要费很大力气去移动,拼贴波形. 骑士从来不会面对这些问题,因为我最讨厌去用鼠标把音频块移来移去,然后一遍遍的听位置是否合适,太累了.我也对"同步"这个概念避而远之.那么,我是怎么作的呢? 首先,用一个鼓机软件根据歌曲的速度做一个节拍.这里的鼓机软件我推荐Hammer,我也一直用它,很小的绿色软件,只有1兆大小,但是非常好用, 音色也相当硬朗有力.(这个软件这里先不介绍太多,实际上它的作用不仅仅是个打点的工具)当然,DT-10和Fruityloops也可以,只是觉得没有必要用那么专业的软件只是来做一个节拍.一定有人说:"Hammer是什么,我没有听说过,也没有见过!!",很好,那么现在立即去 https://www.360docs.net/doc/e57255705.html,下载吧. 好,现在假设我们的歌速度是120.或者你也可以打开鼓机软件,边弹琴(唱歌),边调节节拍速度直到你觉得合适为止,这时软件上显示的速度,就是你歌的速度. 请看,这就是Hammer的界面: Tempo就是速度,这里根据我们要录的歌,设为120. 底下那一排主要设置的是鼓点,我设置的是最简单的"洞达,洞洞达". 速度定好以后,看图上的那个下拉菜单,选中"Stream to Disk"项,把节拍存成一个.wav文件.这个文件非常重要,它将在Cool Edit中大展身手,成为以后录制的所有音频的模范-------这个后面自然会得到证实:). 注意在存储的对话框里,"Stream Type"中一定要选"Current measure",只保存一个小节.如图: 对了,还有一点需要提醒:在存储鼓点文件的时候,最好把文件名存为"120.wav",即以速度为文件名,这样你永远不会忘了你的歌曲的速度了. 这个节拍文件到歌曲最后混音的时候就没有用了,但在录音和前期剪辑的时候,还是很有用的.所以,最好选个好听点的节奏和鼓的音色,免得你录音的时候耳朵遭罪,还影响弹琴或者唱歌的发挥:) 有了节拍,就可以打开Cool Edit Pro,准备录音了! 第二步: 设置录音环境 打开Cool Edit Pro,进入多轨录音状态.(如果默认是单轨环境,按一下F12键即可切换,或者点击如图的箭头所指的切换按钮) 多轨状态 单轨状态 首先要做的,就是把我们前面做好的节拍文件插入在第一轨里面,作为一个参照轨.(怎么"参照"后面讲) 如图所示,在箭头1所指的黄线处点击鼠标右键,然后在弹出菜单里面选择"插入----wave from File...",如图箭头2所指. 然后在对话框里面,选择保存过的那个"120.wav"文件. 这时候,在第一轨里面将插入了一个波形文件----120.wav 下来要做的是,将这个节奏在第一轨里面复制多次,请看下图:

相关文档
最新文档