山东大学2019年继续教育工程项目管理专科试卷a-c

山东大学2019年继续教育工程项目管理专科试卷a-c
山东大学2019年继续教育工程项目管理专科试卷a-c

工程项目管理模拟题(A)

一、名词解释

1. 标:是由发包单位编制或委托设计单位编制,向投标者提供对该工程的

主要技术、质量、工期等要求的文件。

2. 时标网络图:指网络图中各工序的箭线在横坐标上的投影长度要等于该

工序的持续时间。

3. 项目计划:是用于协调项目编制、指导项目执行和描述项目控制的文件,

关键组成部分包括项目简介或概览、如何组织项目的描述、用于项目管理的技术和过程,描述需要完成的工作内容、进度信息和预算信息。

二、简答题

1.简述工程项目的周期运行。

2.如何理解工程项目的系统构成?它有哪些特点?

3.简述工程项目实施常采用的组织方式。

4.简述索赔的工作程序。

参考答案:

1.简述工程项目的周期运行。

答:我国目前工程项目周期的运行按投资前期、投资建设期、生产运行期三

个时期多个环节循环进行。

投资前期分为投资机会研究(项目选择)、项目建议书(立项)、可行性研究(项目决策的依据)、项目评估与决策。

投资建设起包括项目选址、项目设计、制定年度建设计划、施工准备与施工、生产准备、竣工验收交付使用。

生产运行期包括项目的后评价、实现经营目标、资金回收与增值。

2.如何理解工程项目的系统构成?它有哪些特点?

答:任何工程项目都处在社会经济系统中,它与外部环境发生着各种各样的联系;工程项目的产生、形成和发展的各个环节相互联系、相互制约、并受到建

设条件的影响;工程项目往往包括诸多形体独立、功能关联、共同作用的单体工程,共同构成一个有机整体。实施一个项目,必须用系统工程学原理研究分析工程项目的内部系统构成、外部关联系统各种关系和与外部环境协调发展。

工程项目的内部系统由单项工程、单位工程、分部工程、分项工程等子系统构成;外部关联系统涉及到建筑工程市场和建筑生产要素市场的各方主体,通过一定的交易方式形成以经济合同为纽带的种种经济关系、责权利关系。

工程项目的系统特点表现在具有开放性、动态性、新颖性、复杂性、不确定性、严格性等。

4.简述工程项目实施常采用的组织方式。

答:工程项目实施常用的组织方式为:招标投标制、合同管理制、项目法人

责任制和建设监理制。

5.简述索赔的工作程序。

答:索赔工作程序是指从索赔事件发生到最终处理全过程所包括的工作内容

和工作步骤。主要步骤:索赔意向的提出;索赔资料的准备;索赔文件的提交;

工程师(业主)对索赔文件的审核;索赔的处理与解决。

三、案例题

某工作包有三个工程活动组成,有三个小组负责施工,持续时间见表。现划分为三个等工作量的工作面,采用流水施工方法施工。试用双代号网络表示三个活动的关系,并通过计算各时间参数,确定关键线路和总工期。

工程活动 A B C

持续时间12 18 15

答:1、工程项目管控步骤包括:1)启动:启动阶段主要工作是识别项目、并界

定项目范围;2)计划:项目计划是指导项目实施的依据,是非常重要的一个过程,它包含里程碑计划、进度计划、成本、质量计划、风险计划、采购计划等;

3)实施:实施就是根据项目计划进行项目的实体构造,在项目实施中,重要的

内容就是项目信息的沟通,即及时提交项目进展信息;4)控制:在项目实施中,重要的内容就是项目信息的沟通,即及时提交项目进展信息,以项目报告的方式定期通过项目进度,有利开展项目控制,对质量保证提供了手段。5)收尾:项目收尾包括对最终产品进行验收,形成项目档案,吸取的教训等。另外,对项目

干系人要做一个合理的安排,这也是容易忽视的地方。

2、双代号网络图:

A B C

O--------->O---------->O----------->O

12 30 45

关键线路为ABC,总工期=45

工程项目管理模拟题(B)

一、名词解释

6.建设工程监理: 即指具有相应资质的工程监理企业,接受建设单位的委托,承担其项目管理工作,并代表建设单位对承建单位的建设行为进行监控的专业化服

务活动。

7.工程项目风险: 是指工程事先不能确定的内部和外部的干扰因素。

8.索赔: 是指对自己已经受到的损失进行追索。

二、简答题

5.工程项目管理和施工项目管理有何不同?

6.简述我国大中型建设项目投资前期的研究决策程序。

7.标价是如何计算和确定的?

8.承包商索赔内容有哪些?

参考答案:

3.工程项目管理和施工项目管理有何不同?

答:工程项目管理和施工项目管理有许多不同点:

(1)实施的主体不同:工程项目管理的主体是业主及受其委托的监理单位

的项目管理班子;施工项目管理的主体是施工企业的项目管理班子。

(2)目的不同:业主项目管理的目的是为取得符合要求的、能发挥应有效

益的固定资产;监理方是为完成业主所委托的项目管理任务从而取得报酬;施工企业项目管理是为生产出建筑安装产品并取得利润。

(3)内容不同:工程项目管理的内容涉及资本运转和项目建设的全过程的

管理;施工项目管理的内容涉及从投标开始到交工为止的项目的施工组织、生产管理及维修。

(4)范围不同:工程项目管理的时间范围是项目建设的全周期;而施工项

目管理的时间范围仅限于项目的施工和维修阶段。

4.简述我国大中型建设项目投资前期的研究决策程序。

答:按照国家的有关规定,大、中型基本建设项目投资前期的研究决策程序为:投资机会研究与项目初选;编制并上报项目建议书,经批准立项;进行可行

研究报告;编制并上报设计任务书;项目评估和决策。

9.标价是如何计算和确定的?

答:(1)计算工程预算造价:按工程预算方法计算工程预算造价,这一价格

接近标底,是投标报价的基础。

(2)分析各项技术经济指标:把投标工程项目的各项技术经济指标与同类

工程项目的相关指标进行对比分析,或用其他单位报价资料加以分析比较,从而发现预算中不合理的内容,并作调整。

(3)考虑报价技巧与策略,确定标价:投标报价应根据工程项目条件哈各

种具体情况来确定。

10.承包商索赔内容有哪些?

答:承包商索赔的一般内容有:

(1)工程地质条件变化索赔;

(2)工程变更索赔;

(3)因业主原因引起的工程延期和延误索赔;

(4)施工费用索赔;

(5)业主终止工程施工索赔;

(6)物价上涨引起的索赔;

(7)法规、货币及汇率变化引起的索赔;

(8)拖延支付工程款的索赔;

(9)特殊风险索赔。

三、分析论述题

项目经理应具备哪些素质?谈谈你心目中的项目经理?

1、工程项目的项目经理室工程项目承担的法人代表在该工程项目上的全权

委托代理人,负责项目组织、计划及实施过程,处理有关内外关系,保证项目目

标实现,是项目负责人,是项目的直接领导者与组织者。

3、项目经理应具备的素质:

(1)、良好的社会道德(2)、高尚的职业道德(3)、良好的心理素质

工程项目管理模拟题(C)

一、名词解释

1. 双代号网络图: 是应用较为普遍的一种网络计划形式。它是以箭线及其两端节点的编号表示工作的网络图。

2. 信息管理: 是人类为了有效地开发和利用信息资源,以现代信息技术为手段,对信息资源进行计划、组织、领导和控制的社会活动。

3. 工程项目竣工验收: 是施工全过程的最后一道程序,也是工程项目管理的最后一项工作。

二、简答题

9.简述招标的一般程序。

10.简述工程项目管理现代化的内容及其相互关系?

11.项目合同签订的程序是什么?每个阶段的特点是什么?

12.简述竣工决算和竣工结算的区别。

参考答案:

5.简述招标的一般程序。

答:(1)组织招标班子;

(2)申请批准招标;

(3)编制招标文件和标底;

(4)发布招标公告;

(5)投标资格预审;

(6)组织现场勘察和招标文件答疑;

(7)接收投标文件;

(8)开标;

(9)评标(由评标委员会进行);

(10)决标,确定中标者,并向未中标者收回招标文件,退还投标保证金;

(11)与中标者签订合同;

(12)履行合同。

6.简述工程项目管理现代化的内容及其相互关系?

答:工程项目管理现代化的主要内容有:管理思想现代化;管理组织高效化;

管理方法科学化;管理技术电子化;管理人员专业化;管理方式民主化。

工程项目管理现代化的内容间相互关系为:管理思想是核心,管理组织是保证,管理方法是基础,管理人员是条件,管理手段是工具,管理方式是因素。

11.项目合同签订的程序是什么?每个阶段的特点是什么?

答:工程项目合同签订的程序包括邀请、要约、还约、承诺四个阶段,其中

要约和承诺是两个椎基本、最主要的阶段,它是合同签订两个必不可少的步骤。

要约的邀请是指项目当事人的一方向另一方就项目合同的某些条款,即项目合同的有关交易条件的询问。要约邀请只是项目当事人意欲同另一方当事人进行

交易的表示,因而没有约束力。要约,则是项目合同的一方向另一方提出一定的

交易条件,并愿意按所提出的交易条件达成协议、签订项目合同的意思表示。要约具有法律效力,对当事人具有约束力,不能随意撤回和撤销。还约是指当事方不同意或不完全同意要约人提出的条件,为了进一步的协商,对要约的条件提出修改意见。还约是对原要约的拒绝,是具有约束力的的一项新要约。承诺是指受要约人接到要约人的要约后,同意对方提出的条件,愿意按照所列条款达成交易、签订合同的意思表示。承诺产生的重要法律后果就是交易达成、合同成立。

12.简述竣工决算和竣工结算的区别。

答:竣工结算是竣工决算的主要依据,两者的区别主要在于:

(1)编制单位和内容不同

竣工结算是由施工单位的预算(财务)部门进行的,其内容包括施工单位承

担施工的建筑安装工程全部费用,它与所完成的建筑安装工程量及单位工程造价

一致,最终反映的是施工单位在本工程项目中所完成的产值。竣工决算是建设单位财务部门编制的,包括建设项目从筹建开始到项目竣工交付生产为止的全部建

设费用,最终反映的是工程项目的全部投资。

(2)作用不同

竣工结算的作用是:为竣工决算提供基础资料;作为建设单位和施工单位核对和结算工程价款的依据;是最终确定项目建筑安装施工产值和实物工程量完成

情况的基础材料之一。竣工决算的作用是:反映竣工项目的建设成果;作为办理交付验收的依据,是竣工验收的重要组成部分。

三、案例题

已知某工程项目的工作明细表如表所示,试绘出该工程项目进度网络图,并计算其工作的时间参数(ES、LS、TF、FF)。

案例题表

序号工作代号紧前工作工作历时(天)

1 A —— 2

2 B A 2

3 C B 2

4 D A 3

5 E B、D 3

6 F C、E 3

7 G D 1

8 H E、G 1

9 I F、H 1

答:

注:图中的6、7 两符号位置调换。

总工期为12 天,关键路线为1——2——4——5——6——9——10。

(计算公式:

1、ES最早开始时间,为紧前工作的最早完成(取最大值);

2、LS最迟开始时间,为紧后工作的最迟完成(取最小值),减去紧后工作的持续时间后,再减去本项工作的持续时间;

3、TF总时差:——活动TF=LS-ES=LF-EF;

4、FF自由时差:

活动FF=紧后ES-紧前EF;

整体FF=本活动TF+紧后较小FF。

计算机网络答案-山东大学期末考题目答案

计算机网络答案-山东大学期末考题目答案

第一章概述 1-3试从多个方面比较电路交换、报文交换和分组交换的主要优缺点。 答:1、电路交换电路交换是一种必须经过“建立连接-通话-释放链接”三个步骤的一条专用物理通路。从建立连接开始,通讯就一直占用信道资源,直到释放。它具有实施性强,时延小,交换设备成本低的优点。同时,其线路利用效率低,通信效率低,不同类型终端之间不可通讯。电路交换适用于信息量大,较长的报文。 2、报文交换报文交换本质上也是存储转发方式,但是它将整个报文从一个结点传到下一个。它的优点是中继电路利用率高,可以多个用户同时在一条线路上传送。缺点在于报文信息量大,时延长,且占用交换机内存较大,不具有实时性。它适用于报文较短,实时性较低的通讯。 3、分组交换分组交换是将报文分组,在每个分组之前加上地址信息,通过路由器经接收,存储,再转发到下一个接口,直到将分组传到目标地址,再去掉地址信息将其重组为完整报文。在分组传输的过程中动态分配传输宽带,逐

段占用通信链路,多个分组数可同时传送。它传输效率高,且保证数据传输有很高可靠性。同时分组排队会造成时延,但比报文交换小,且成本较高。 1-12 因特网的两大组成部分(边缘部分与核心部分)的特点是什么?他们的工作方式各有什么特点? 答:边缘部分由所有连接在因特网上的主机组成,由用户直接使用,进行通信和资源共享。核心部分,是由大量网络和连接这些网络的路由器组成,主要为边缘部分服务提供连通性和交换。边缘部分不同终端上的程序通信方式有客户-服务器方式和对等方式。客户-服务器方式指进行通讯的双方中一方为服务请求方,一方为服务提供方。客户向服务器发送远程服务请求,因此客户程序必须知道服务器程序地址,而服务器只需要被动接受请求,不需要知道客户程序地址,但需要强大硬件和高级操作系统支持。对等方式是双方均可既为服务提供者,也可以为服务请求

工程项目管理试卷及答案

工程项目管理试卷及答案

工程项目管理试卷 一、单选题【本题型共20道题】 1.工程网络计划中,如果紧后工作的开始并不以紧前工作的完成为前提,只要紧前工作开始一段时间以后,紧前工作虽然尚未完成但已经提供了紧后工作开始工作的条件,紧后工作就可以在这种条件下与紧前工作平行进行。能够很好地表达这种逻辑关系的网络图是()。 A.双代号网络图 B.单代号网络图 C.双代号时标网络图 D.单代号搭接网络图 用户答案:[D] 得分:2.00 2.国务院批准范围以外的建设用地由()批准。 A.国土资源部 B.住建部 C.省级人民政府 D.省级国土资源管理部门 用户答案:[C] 得分:2.00 3.在某教学楼项目开工后的第四个月末,计划工作预算费用是700万元,实际总支出为900万元,则该教学楼项目的实施状况是()。 A.项目进度超前 B.信息不足,无法判断 C.项目费用在正常的范围内 D.项目陷入费用超支的麻烦中 用户答案:[B] 得分:2.00

8.国家发展改革委安排投资补助和贴息项目,应当首先制定(),明确投资补助和贴息的目的、预定目标、实施时间、支持范围、资金安排方式、工作程序、时限要求等主要内容。 A.投资补助和贴息计划 B.年度投资计划 C.工作方案 D.资金申请报告 用户答案:[C] 得分:2.00 9.按《国务院关于投资体制改革的决定》的规定,政府投资的项目,实行()管理程序。 A.审批制 B.核准制 C.备案制 D.评估制 用户答案:[A] 得分:2.00 10.建设-运营-移交(Build-Operate-Transfer,BOT)的合同期限一般为()年。 A.5-10 B.10-20 C.15-25 D.20-30 用户答案:[D] 得分:2.00

山东大学2019年继续教育 工程项目管理专科试卷a-c

工程项目管理模拟题(A) 一、名词解释 1. 标:是由发包单位编制或委托设计单位编制,向投标者提供对该工程的主要技术、质量、工期等要求的文件。 2. 时标网络图:指网络图中各工序的箭线在横坐标上的投影长度要等于该工序的持续时间。 3. 项目计划:是用于协调项目编制、指导项目执行和描述项目控制的文件,关键组成部分包括项目简介或概览、如何组织项目的描述、用于项目管理的技术和过程,描述需要完成的工作内容、进度信息和预算信息。 二、简答题 1.简述工程项目的周期运行。 2.如何理解工程项目的系统构成?它有哪些特点? 3.简述工程项目实施常采用的组织方式。 4.简述索赔的工作程序。 参考答案: 1.简述工程项目的周期运行。 答:我国目前工程项目周期的运行按投资前期、投资建设期、生产运行期三个时期多个环节循环进行。 投资前期分为投资机会研究(项目选择)、项目建议书(立项)、可行性研究(项目决策的依据)、项目评估与决策。 投资建设起包括项目选址、项目设计、制定年度建设计划、施工准备与施工、生产准备、竣工验收交付使用。 生产运行期包括项目的后评价、实现经营目标、资金回收与增值。 2.如何理解工程项目的系统构成?它有哪些特点? 答:任何工程项目都处在社会经济系统中,它与外部环境发生着各种各样的联系;工程项目的产生、形成和发展的各个环节相互联系、相互制约、并受到建设条件的影响;工程项目往往包括诸多形体独立、功能关联、共同作用的单体工程,共同构成一个有机整体。实施一个项目,必须用系统工程学原理研究分析工程项目的内部系统构成、外部关联系统各种关系和与外部环境协调发展。

工程项目的内部系统由单项工程、单位工程、分部工程、分项工程等子系统构成;外部关联系统涉及到建筑工程市场和建筑生产要素市场的各方主体,通过一定的交易方式形成以经济合同为纽带的种种经济关系、责权利关系。 工程项目的系统特点表现在具有开放性、动态性、新颖性、复杂性、不确定性、严格性等。 3.简述工程项目实施常采用的组织方式。 答:工程项目实施常用的组织方式为:招标投标制、合同管理制、项目法人责任制和建设监理制。 4.简述索赔的工作程序。 答:索赔工作程序是指从索赔事件发生到最终处理全过程所包括的工作内容和工作步骤。主要步骤:索赔意向的提出;索赔资料的准备;索赔文件的提交;工程师(业主)对索赔文件的审核;索赔的处理与解决。 三、案例题 某工作包有三个工程活动组成,有三个小组负责施工,持续时间见表。现划分为三个等工作量的工作面,采用流水施工方法施工。试用双代号网络表示三个活动的关系,并通过计算各时间参数,确定关键线路和总工期。

山东大学综合平台学生使用手册

山东大学继续教育学院综合学习平台 学生使用手册

目录 1. 登录系统 (4) 2. 个人空间账号信息管理 (5) 1) 空间设置 (5) 2) 管理头像、密码 (5) 3) 应用管理 (6) 3. 个人学籍服务 (7) 1) 学生信息 (7) 2) 勘误信息 (9) 3) 学籍异动 (10) 学籍异动办理流程: (10) 学籍异动申请入口 (10) 4) 毕业信息 (11) 5) 荣誉体系 (12) 4. 选课 (13) 1) 必修课确认 (13) 2) 选修课确认 (14) 3) 专业教学计划 (14) 5. 毕业课程 (16) 1) 毕业论文 (16) 2) 答辩申请 (21) 6. 学位管理 (22) 1) 学位申请 (22) 2) 学位外语考试报名 (23) 3) 学位外语免试报名 (23) 7. 学习空间 (24) 1) 学习空间 (24) 8. 课程学习 (26) 4) 课件学习 (26) 5) 统计 (28)

6) 资料 (28) 7) 通知 (28) 8) 作业 (29) 9) 讨论 (30) 9. 考试服务 (30) 1) 约考服务 (30) 2) 考试成绩勘误 (31) 3) 总评成绩查询 (32) 4) 统考服务 (32) 5) 打印准考证 (34)

1.登录系统 打开山大学网络教育平台,如图所示。点击上方登录按钮,进入登录界面。 说明: A、用户名:通常是指学生的学号; B、密码:用户登录系统的密码,默认是您证件号码后六位 若所输入的用户名或密码不正确,点击“登录”按钮后,系统会弹出如下图所示的提示框: 若用户忘记密码,则点击忘记密码。可填写绑定邮箱通过绑定邮箱自动找回,若没有设置绑定邮箱,可以联系管理员进行人工找回。

工程项目管理试题及答案题库

工程项目管理试题及答案-题库-自考用 单项选择题 1、施工质量计划的编制主体是( C )。 A、业主 B、设计方 C、施工承包企业 D、监理单位 2、在工程的网络计划中,工作的自由时差是指在不影响( A )的前提下,该 工作可以利用的机动时间。 A、紧后工作的最早开始时间 B、紧后工作的最迟开始时间 C、紧后工作的最迟完成时间 D、本工作的完成时间 3、下列关于工程项目目标的说法中,正确的是( D )。 A、目标应是长远和抽象的 B、目标不应有时间限制 C、目标只能由最高决策者掌握 D、目标是现实的,是可达到的 4、下列关于业主对工程项目管理的表述中,正确的是( B )。 A、管理目的是实现项目各利害关系人的全部期望 B、业主是对工程项目进行全面管理的中心 C、在项目建设过程中,业主对项目大都采用直接管理方式

D、以进度为中心进行控制 5、按照( A )方式,可将项目管理划分为不同的类型,包括业主方的项目管 理、施工方的项目管理等等。 A、建设工程项目不同参与方的工作性质和组织特征的不同 B、建设工程项目不同参与方的权利和责任的不同 C、建设工程项目不同参与方的利益的不同 D、建设工程项目不同参与方的地位的不同 6、专业工作队在各个施工段上的劳动量要大致相等,其相差幅度不宜超过 ( B ); A、8%~12% B、10%~15% C、18%~25% D、25%~35% 7、工程项目的管理层次与管理跨度之间的关系为( D )。 A、跨度越小层次越少 B、层次减少跨度不变 C、跨度越大层次越大 D、层次越多跨度越小 8、建设工程项目管理的核心任务是项目的( D ) 。 A、目标规划 B、目标比选 C、目标论证 D、目标控制 9、以下哪个不属于施工索赔种类的有( D )。 A、工程量变化索赔 B、施工条件变化索赔 C、设备窝工索赔 D、工人工伤索赔

山东大学学历继续教育学生学籍管理规定-山东大学继续教育学院

山东大学学历继续教育学生学籍管理规定 第一章总则 第一条为全面贯彻党的教育方针,维护我校继续教育的教育教学秩序,规范学籍管理,不断提高教育和教学质量,保障学生合法权益,促进学生全面发展,依据《中华人民共和国高等教育法》《普通高等学校学生管理规定》(中华人民共和国教育部令第41号)等法律法规和《山东大学章程》,结合我校实际,制定本规定。 第二条本规定适用于在山东大学接受学历继续教育的在籍学生。 第三条学生管理坚持以学生的成长发展为中心,尊重和保护学生的合法权利,教育和引导学生承担应尽的义务与责任,鼓励和支持学生实行自我管理、自我服务、自我教育、自我监督。 第二章学生的权利与义务 第四条学生在校期间依法享有下列权利: (一)参加学校教育教学计划安排的各项活动,使用学校提供的教育教学资源; (二)参加校外学习中心(函授站)组织的社会实践、志愿服务、文娱体育及科技文化创新等活动; (三)在思想品德、学业成绩等方面获得科学、公正评价,完成学校规定学业后获得相应的学历证书、学位证书; (四)以适当方式参与学校管理和学生活动,对学校与学生权益相关事务享有知情权、参与权、表达权和监督权; (五)对学校给予的处理或者处分有异议,向学校、教育行政部门提出申诉;对学校、教职员工侵犯其人身权、财产权等合法权益的行为,提出申诉或者依法提起诉讼; (六)法律、法规及学校章程规定的其他权利。 第五条学生在校期间依法履行下列义务: (一)遵守宪法、法律和法规; (二)遵守学校章程和规章制度; (三)恪守学术道德,完成规定学业; (四)按规定缴纳学费及有关费用; (五)遵守学生行为规范,尊敬师长,养成良好的思想品德和行为习惯; (六)法律、法规及学校章程规定的其他义务。 第三章学制与学分 第六条我校基本修业年限:高中起点专科(简称高起专)和专科起点本科(简称专升本)为2.5年。最长学习年限:高起专为4年,专升本为5年。

山东大学网络教育-(本科)英语答案二

模拟题二 模拟题二第一部分:交际用语 此部分共有5个未完成的对话,针对每个对话是未完成的部分有4个选项,请从A、B、 C、D四个选项中选出可以填入空白处的最佳选项,并用铅笔将答题卡上的相应字母涂黑。 1. ---Would you like to have dinner with us this evening ---___C_____. A. Ok, but I have to go to a meeting now. B. No, I can’t C. Sorry, this evening I have to go to the airport to meet my parents. D. I don't know. 2. --- Please help yourself to some fish. ---___B____. A. Thanks, but I don't like fish B. Sorry, I can't help C. Well, fish don't suit me D. No, I don’t want to do that 3. ---It’s getting late. I’m afraid I must be going now. ---OK. ____D__. A. Take it easy B. Go slowly C. Stay longer D. See you 4. ---Could I ask you a rather personal question ---_____B____ A. Yes, don’t worry. B. Of course, go ahead. C. Yes, help yourself. D. Of course, why not 5. ---Well done. Congratulations on your success. --- _____A______. A. Thank you very much B. Oh, no, no C. No, I didn’t do well D. Sorry, I couldn’t do any better 模拟题二第二部分:阅读理解 此部分共有2篇短文,每篇短文后有5个问题。请从每个问题后的A、B、C、D四个选项中,选出可以填入空白处的最佳选项,并用铅笔将答题卡上的相应字母涂黑。 Passage 1 Television has opened windows in everybody’s life. Young men will never again go to war as they did in 1914. Millions of people now have seen the effects of a battle. And the result has been a general dislike of war, and perhaps more interest in helping those who suffer from all the terrible things that have been shown on the screen. Television has also changed politics. The most distant areas can now follow state affairs, see and hear the politicians before an election. Better informed, people are more likely to vote, and so to make their opinion count. Unfortunately, television’s influence has been extremely harmful to the y oung. Children do not have enough experience to realize that TV shows present an unreal world; that TV advertisements lie to sell products that are sometimes bad or useless. They believe that the violence they see is normal and acceptable. All educators ag ree that the “television generations”

山东大学期末考试知识点复习

第七章新闻图片编辑 【知识框架】 【重点难点归纳】 一、新闻图片的种类及其地位 1.报纸上常见的图片种类 (1)照片 新闻照片就是以新闻事件、新闻人物为拍摄对象,再现新闻现场情景的照片,它可以作为独立的新闻报道出现在版面上,也可以配合文字报道一同编发。 (2)漫画 漫画在现代报纸上的使用非常广泛,有根据新闻事实进行艺术加工的新闻漫画,时效性较强,经常刊登在新闻版上;也有反映社会生活现象的社会性漫画,通常刊登在专刊副刊上;还有用来配合文字报道的图解式漫画以及连环漫画、幽默画等。 (3)图示 图示包括统计图表、示意图和新闻地图三类。 统计图表就是将统计数字制成表格图,便于读者集中阅读,一目了然。

示意图不但将统计数字集中绘制成图,而且用形象化的手法表示这些数据所说明的意义。 新闻地图则是根据标准地图,将新闻发生地的地理位置绘制成更加简洁明确的地图。 (4)图饰 图饰一般是用美术图案点缀和烘托报纸的报头、报眉、标题、栏题、版头或版面的其他部位,使整个版面更加美观生动,恰当地运用图饰还能使版面编排思想得到更加充分的体现。 2.图片的地位与作用 (1)纪实性作用 作为独立报道体裁的新闻照片具有再现新闻现场、记载真实瞬间的作用,这类照片成为报纸上不可或缺的重要组成部分。 (2)证实性作用 非独立使用的新闻照片与非新闻照片具有证实文字报道的作用,这类照片对新闻资源的充分发掘,对提高新闻报道的可信度具有重要意义。 (3)解说性作用 图表、示意图、新闻地图、漫画等能够以形象性的符号统计和描绘新闻报道中的某些内容,从而对相对比较枯燥的文字表述进行生动易懂的解释。 (4)装饰性作用 图片的形象性特征使其可以作为文字稿件的美化装饰手段。 (5)视觉冲击性作用 图片的形象性和直观性能够产生强烈的视觉冲击效果,产生巨大的吸引力与震撼力,这是文字报道所难以达到的。

《工程项目管理》试题与答案

1、建设工程项目不同参与各方的项目管理之间存在着( )的关系。 A 、对立 B 、统一 C 、对立统一 D 、不相关 ?2、对于一个建设工程项目来说,( )是管理的核心。 A 、设计方的项目管理 B 、施工方的项目管理 C 、业主方的项目管理 D 、供货方的项目管理 ?3、施工方项目管理的核心任务是对项目的( )进行有效的控制。 A 、进度目标 质量目标 投资目标 B 、进度目标 质量目标 成本目标 C 、工期目标 质量目标 投资目标 D 、工期目标 质量目标 成本目标 ?4、项目管理的核心任务是( ) A 、环境管理 B 、信息管理 C 、目标控制 D 、组织协调 ?5、在任何情况下工程项目的建设周期都是有限的,这是工程项目的______特征。( ) A 、唯一性 B 、一次性 C 、整体性 D 、不可逆转性 第二题、多项选择题(每题2分,5道题共10分) ?1、建设项目管理的内涵是:自项目开始至项目完成,通过项目策划和项目控制使项目( )的三大目标得以实现。 A 、费用 B 、协调 C 、质量 D 、进度 E 、合同 ?2、一个工程项目的建设是由多个单位共同参与完成的,参与单位的( )不同,相应项目管理的类型也就不同。 A 、工作性质 B 、工作方法

C、工作任务 D、环境 E、利益 ?3、项目的实施阶段包括()。 A、设计阶段 B、施工阶段 C、保修期 D、可行性研究阶段 E、设计前的准备阶段 ?4、建筑产品的特点包括() A、固定性 B、单件性 C、多样性 D、体积庞大 E、生产周期长 ?5、我国大型复杂工程设计一般分为() A、初步设计 B、方案设计 C、技术设计 D、施工图设计 E、总体设计 第三题、判断题(每题1分,5道题共5分) ?1、工程项目管理难度最大的阶段是工程项目的实施阶段 正确错误?2、工程项目三大目标是相互独立的

山东大学继续教育管理学B

《管理学》模拟卷 一、单项选择题 1、在管理实践中我们强调无论是哪项改革或措施,都应该不仅包括实施过程,还要有监督、反馈过程,这反映了管理的哪项原则:() A.管理的整分合原则 B.管理的相对封闭原则 C.管理的能级原则 D.管理的动力原则 2、有一种说法认为“管理就是决策”, 这实际上意味着: () A.对于管理者来说只要善于决策就一定能够获得成功 B.管理的复杂性和挑战性都是由于决策的复杂性而导致的 C.决策能力对于管理的成功具有特别重要的作用 D.管理首先需要的就是面对复杂的环境作出决策 3、管理层次较多而管理幅度较小的直式组织结构的优点是:() A.缩短上下级距离,密切上下级关系 B.信息纵向流通快,管理费用低 C.管理严密、分工明确、上下级易于协调 D.被管理者有较大的自主性,积极性和满足感。 4、现代大型公司广为采用的组织形式是:() A.职能制的组织结构形式 B.直线制的组织结构形式 C.事业部制的组织结构形式 D.矩阵式的组织结构形式 5、有效的管理幅度受到诸多因素的影响,除了:() A.工作能力 B.工作环境 C.工作情绪 D.工作内容和性质 6、“治病不如防病,防病不如讲究卫生”根据这一说法,以下几种控制方式中,哪一种方式最重要:() A.预先控制 B.实时控制 C.反馈控制 D.前馈控制 7、在管理决策中,应该同时有几套被选方案,一旦出现意外情况,可以及时做出反应,这反映了:() A.管理的反馈原则 B.管理的弹性原则 C.管理的效益原则 D.管理的价值原则 8、以下哪项不包括在经济环境的分析中:() A.利率 B.贸易赤字和赢余

C.其他国家的经济状况 D.就业人口的种族划分 9、根据赫茨伯格的双因素理论,下列各项中不属于激励因素的是:() A.富有挑战性的工作 B.丰厚的薪水和福利 C.职务晋升 D.领导赏识 10、从组织内部提拔能够胜任的人员来充实空缺职位有很多优点,以下所列举的各条哪一条错误的: () A.有利于鼓舞士气 B.有利于受聘者更快地开展工作 C.有利于平息与缓和内部竞争者之间的紧张关系 D.有利于节约招聘费用 二、简答题 1、梅奥通过霍桑试验得出什么结论? 2、简述赫茨伯格的双因素理论的主要内容。 3、什么是矩阵结构?它的优缺点有哪些? 4、简述现代组织发展的趋势。 5、影响管理幅度的因素有哪些? 参考答案: 1、梅奥通过霍桑试验得出什么结论? (1)工人都是社会人,是复杂的社会系统的成员,不是经济人 (2)领导的责任在于提高工人的满足度 (3)起了除了正式组织之外,还存在着非正式组织 (4)存在霍桑效应 2、简述赫茨伯格的双因素理论的主要内容。 把影响人的行为的因素分为两类: 一类是工作环境和工作关系方面的因素,如公司的政策、管理、监督、工资和工作条件等,称为保健因素,这类因素不能直接祈祷激励员工的作用,但能防止员工产生不满的情绪。 一类是工作内容本身方面的因素,如成就、上级赏识、工作责任等,称为激励因素,只有这类因素才能产生使职工满意的积极效果。 3、什么是矩阵结构?它的优缺点有哪些? 在最高管理者领导下,既有按职能部门划分的垂直管理系统,又有按产品(项目)划分的横向管理系统,形成一种纵横交错的矩阵结构形式。 特点:双重机构,双重领导 优点:有利于各部门之间的沟通、组织结构、有利于任务的完成、有较好的适应性 缺点:双重结构易产生责任不清、资源不易配置、临时观点等 4、简述现代组织发展的趋势。 扁平化、柔性化、网络化、分立化 5、影响管理幅度的因素有哪些?

计算机网络答案 山东大学期末考题目答案

第一章概述 1-3试从多个方面比较电路交换、报文交换和分组交换的主要优缺点。 答:1、电路交换电路交换是一种必须经过“建立连接-通话-释放链接”三个步骤的一条专用物理通路。从建立连接开始,通讯就一直占用信道资源,直到释放。它具有实施性强,时延小,交换设备成本低的优点。同时,其线路利用效率低,通信效率低,不同类型终端之间不可通讯。电路交换适用于信息量大,较长的报文。 2、报文交换报文交换本质上也是存储转发方式,但是它将整个报文从一个结点传到下一个。它的优点是中继电路利用率高,可以多个用户同时在一条线路上传送。缺点在于报文信息量大,时延长,且占用交换机内存较大,不具有实时性。它适用于报文较短,实时性较低的通讯。 3、分组交换分组交换是将报文分组,在每个分组之前加上地址信息,通过路由器经接收,存储,再转发到下一个接口,直到将分组传到目标地址,再去掉地址信息将其重组为完整报文。在分组传输的过程中动态分配传输宽带,逐段占用通信链路,多个分组数可同时传送。它传输效率高,且保证数据传输有很高可靠性。同时分组排队会造成时延,但比报文交换小,且成本较高。 1-12 因特网的两大组成部分(边缘部分与核心部分)的特点是什么?他们的工作方式各有什么特点? 答:边缘部分由所有连接在因特网上的主机组成,由用户直接使用,进行通信和资源共享。核心部分,是由大量网络和连接这些网络的路由器组成,主要为边缘部分服务提供连通性和交换。边缘部分不同终端上的程序通信方式有客户-服务器方式和对等方式。客户-服务器方式指进行通讯的双方中一方为服务请求方,一方为服务提供方。客户向服务器发送远程服务请求,因此客户程序必须知道服务器程序地址,而服务器只需要被动接受请求,不需要知道客户程序地址,但需要强大硬件和高级操作系统支持。对等方式是双方均可既为服务提供者,也可以为服务请求者。 网络核心部分要想网络边缘部分提供连通性和交换,起关键作用的是路由器,其任务是转发收到的分组,使得边缘部分的每一个终端都能够向其他主机通信。 1-14 计算机网络有哪些常用的性能指标? 答:1、速率:连结在计算机网络上的主机在数字信道上传送数据的速率,单位为b/s(其中 b 为bit,表示一个二进制数字,比特是计算机中数据量的单位) 2、带宽:带宽本来指的是某个信号具有的频带宽度,单位为赫;由于通信的主干线传送的是模拟信号,所以,带宽表示通信线路允许通过的信号频带范围,表示在单位时间内,从网络中的某一点到另一点所能通过的“最高数据率”,单位是比特每秒。 3、吞吐量:表示单位时间内通过某个网络口的数据量,经常用于对现实世界中的网络的一种测量,以便知道实际上到底有多少数据量能通过网络 4、时延:时延指数据从网络的一段传送到另一端所需要的时间。有以下几个部分组成:(1)发送时延从主机发送数据帧的第一个比特算起,到该帧的最后一个比特发送完毕所需要的

2017工程项目管理试卷92分

【试卷总题量: 36,总分: 100.00分】用户得分:92.0分,用时2322秒,通过字体:大中小| 打印| 关闭| 一、单选题【本题型共20道题】 1.在某教学楼项目开工后的第四个月末,计划工作预算费用是700万元,实际总支出为900万元,则该教学楼项目的实施状况是()。 A.项目进度超前 B.信息不足,无法判断 C.项目费用在正常的范围内 D.项目陷入费用超支的麻烦中 用户答案:[B] 得分:2.00 2.采用杠杆租赁融资模式,出租人只需要投资购置出租标的所需款项的()%,即可以拥有设备所有权,享受如同设备100%投资的同等待遇。 A.10~20 B.20~30 C.20~40 D.30~50 用户答案:[C] 得分:2.00 3.深度应满足施工准备和生产准备要求的设计阶段是()阶段。 A.可行性研究 B.初步设计 C.技术设计 D.施工图设计 用户答案:[B] 得分:2.00 4.项目中某个活动的乐观时间(a),最可能时间(c)和悲观时间(b)分别是25天、27天、30天,则此活动的期望时间是()天。

A.27 B.27.2 C.27.3 D.27.5 用户答案:[B] 得分:2.00 5.要求业主的高度参与的工程项目发包交付模式是()模式。 A.传统 B.代建制 C.设计/建造 D.CM 用户答案:[D] 得分:2.00 6.工程项目的单项工程验收由()组织。 A.业主 B.监理单位 C.设计单位 D.施工单位 用户答案:[A] 得分:2.00 7.按《国务院关于投资体制改革的决定》的规定,政府投资的项目,实行()管理程序。 A.审批制 B.核准制 C.备案制 D.评估制 用户答案:[B] 得分:0.00 8.按《国务院关于投资体制改革的决定》的规定,核准目录之外的企业投资建设项目,除国家法律法规和国务院专门规定禁止投资的项目外,实行()管理程序。 A.审批制 B.核准制 C.备案制

(精选)山东大学继续教育数字电子技术基础试题3及答案

数字电子技术基础模拟卷 3 一填空。 1 逻辑代数中,基本的运算关系是与、或和非。 2 十进制数27转换成二进制数为11011 ;转换成8421BCD码是00011011 。 3 在逻辑代数中,= +B A A A+ B ;= ⊕1 A。 4 同一个逻辑函数可以有不同的逻辑表达式,但用真值表表示是相同一样的。 5 对于一个4变量的逻辑函数,所构成的最小项的个数是 1 6 ;任意两个最小项相乘等于 0 。 6 两个变量组成的与非关系的逻辑符号是;异或关系的逻辑符 号为。 7 集成TTL逻辑门电路的电源电压一般是 5V ;对于不用的输入端如果悬空,其逻辑上相当于高电平1 。 8 集成CMOS逻辑门电路其静态功耗近似为 0 ;对于CMOS与非门,对于多余的输入端应接高电平。 二解答下列各题。 1求图示电路的输出F1逻辑函数表达式。 A B F1 1 +V TN1 TN2 TP2 TP1 二大题1小题图 2 求图示电路F2的真值表,并求出最简的“与—或”表达式。

A B C 二大题2小题图 三分析下列各题。 1 已知各门电路都是TTL 门电路,试画出输出L 在输入信号作用下的输出波形。 A B C A B C 三大题1小题图 2 设触发器的初始状态为0,试画出输出Q 的波形。 三大题2小题图 CP 四一保险柜的锁受三个按键A 、B 、C 控制,要求三个按键同 时按下时,或A 、B 两个键同时按下,或A 、C 两个按键同时按下,锁被打 开;否则锁闭合。 1 列出上述逻辑问题的真值表。 2 设计采用最少的与非门实现该功能的逻辑电路。 3利用8选1多路数据选择器设计实现上述电路。(8选1数据选择器逻辑符号 如图)

工程项目管理试题答案

名词解释和填空 1.项目管理就是项目管理者在有限的资源约束下,通过项目经理和项目组织的合作,运用系统的观点、方法和理论,对项目涉及的全部工作进行有效的管理。 2.可行性研究是在工程投资决策之前,运用现代科学技术成果,对工程项建设方案所进行的系统、科学、综合的研究、分析、论证的一种方法。 3.可行性研究是对建设项目技术和经济是否可行而进行科学的分析和论证,为项目决策提供科学依据。 4.批准后的可行性研究报告是初步设计依据,不得随意修改或变更。 5.工程建设项目实施程序是指工程项目新建、扩建、改建活动的(1分)施工准备、施工阶段、竣工阶段应遵循的有关工作步骤。 6.按项目建设程序项目策划可分为建设前期项目构思策划和项目实施策划。 7.项目的总体策划是指在项目决策阶段所进行的全面策划。 8.项目融资策划就是选择合理的融资方案,以达到控制资金的使用成本、降低项目投资风险的目的。 9.施工项目管理的总目标是实现企业经营目标和履行施工合同。 10.工程项目信息管理是指工程项目的各类信息的收集、整理、处理、存储、传递与使用等一系列工作的总称。 11.在确定项目组织时,应考虑责、权、利的统一,其中,职责是责、权、利系统的核心。 12.项目结构分解是将整个项目系统分解成可控制的活动,以满足项目计划和控制的需求。 13.组织结构确定了正式关系与职责的形式,形成了组织的责任体系。 14.工程项目是一种投资行为和建设行为相结合的投资项目。 15.项目控制策划是指对项目实施系统和项目全过程的控制策划。 16.项目系统中的各个子系统的功能、目标和要求都不一样,常产生相互不协调或相互排斥现象。这种子系统与子系统之间的间隔,就是系统/系统界面。 17.责任体系是项目组织责、权、利系统的核心。 18.工程项目结构分解的编码是采用父码+子码的方法编制。 19.CM合同一般采用成本加酬金的计价方式。 20.控制是指在实现行为对象目标的过程中,行为主体按预定的计划实施各项工作,由于在实施过程中会遇到许多干扰因素,行为主体应通过检查,收集实施状态的信息,并将它与原计划(标准)作比较,若发现偏差,则采取措施纠正这些偏差,从而保证计划正常实施,达到预定目标的全部活动。 21.工程项目实施控制的行为对象是工程项目的技术系统。 22.主动控制是指预先对特定条件下的项目干扰因素进行分析,并事前主动地采取预防措施,以尽可能地减少、甚至避免预定目标值与实际值的偏离。 23.工程变更是指项目实施过程中,因业主或承包商的原因引起的任务范围、工程标准等方面的变动,这种变动对合同中已确定的项目费用和进度会产生影响和变化。 24.工程项目进度控制的措施中,最关键的是组织措施。 25.单代号网络计划中,箭线表示逻辑关系。 26.检查施工进度时,从检查时刻的时间标点出发,要点划线依次连接各工作任务的实际进度点,最后到计划检查时的坐标点为止,形成前锋线。 27.工作质量是指所有工程项目的参加者为了保证工程的质量所从事工作的水平和完善程度。 28.实行质量否决权就是工程项目对项目成员评价和利益分配具有最终的否决权。 29.工程项目成本控制是指在项目管理中监控工程项目费用,记录大量的相关成本数据,分析这些数据,以保证及时采取正确的纠偏工作。 30.施工项目成本控制应当建立以项目经理为核心的项目成本控制体系。 简答题 1.在编制可行性研究报告时应注意哪些问题? 要准确简明地阐述工程项目的意义、必要性和重要性,突出针对性、要注意表达的精确性、编写可行性研究报告应严肃认真、注意内容的系统化和格式的统一、报告内容应规范。2.工程项目协调职能包括哪些内容? 人际关系的协调、组织关系的协调、供求关系的协调、配合关系的协调、约束关系的协调 3.简述工程项目总承包模式。 工程项目总承包模式是指业主在项目立项后,将工程项目的设计,施工、材料和设备采购任务一次性地发包给一个工程项目承包公司,由其负责工程的设计、施工和采购的全部工作,最后向业主交出一个达到动用条件的工程项目。 4.用S形曲线进行进度控制时,比较两条S形曲线可得到哪些信息? 项目实际进度与计划进度比较;项目实际进度比计划进度超前或拖后的时间;项目实际进度比计划进度超前或拖欠的任务量或成本量。 5.如何加强施工成本开支的监督? (1)落实分项工程及项目单元成本目标,落实资源消耗和工作效率指标; (2)加强开支的事前批准、事中监督和事后审核;

山东大学继续教育学院 热能与动力工程 专业热力发电厂考试题C答案及评分标准

山东大学继续教育学院热能与动力工程专业《热力发电厂》试卷C 答案及评分标准 一、概念题 1、除氧器再生沸腾 当进入除氧器的其他汽水足够把进入除氧器的凝结水加热到饱和温度,即不需除氧器抽汽时,由于破坏除氧器内的汽水正常流动,除氧效果恶化,排汽量大增,此种现象即除氧器的再生沸腾现象。 2、热化发电比 X=Wh/W,供热机组供热汽流的发电量/总的发电量 3、给水回热循环 是利用已在汽轮机中作过的蒸汽,通过给水回热加热器将回热蒸汽冷却来加热给水,以减少液态区低温工质的吸热,因而提高循环的吸热平均温度,使循环热效率提高。 4、蒸汽再热循环 是保证汽轮机最终湿度在允许范围内的一项有效措施。 5、管道效率 汽轮机的热耗量与锅炉热负荷之比。用来表征蒸汽从锅炉流至汽轮机进口,由于发生压力损失和散热损失而导致的能量损失。 6、加热器端差 加热器汽侧压力下的饱和水温与出口水温的差值,有称上端差.下端差: 离开加热器的疏水温度与加热器进口温度之差。 7、凝汽式电厂的热耗率 发电厂每生产1kW×h的电能所需要的热量。 8、回热作功比 机组的回热汽流作功量占机组总作功量的比例. 二、单项选择题 1、高压加热器的旁路阀门若关闭不严,(B ) A降低机组的安全性 B会降低机组的经济性 C对机组的安全性和经济性都有影响 D对机组安全性和经济性都无影响 2、汽轮机Ⅰ,Ⅱ级旁路(即高、低压旁路)的减温水。(A ) A都来自给水

B都来自凝结水 C分别来自给水和凝结水 D都来自循环水 3、凝结水泵和给水泵都需要设置__。(D ) A再循环管和抽空气管 B抽空气管和逆止阀 C抽空气管和备用水泵 D再循环管和逆止阀 4、热力系统中压力最高的部位是__。(D ) A锅炉汽包内 B锅炉过热器出口 C汽轮机主汽门前 D给水泵出口 5、在汽轮机相对内效率中考虑的损失包括__。(C) A余速损失和轴封漏汽损失 B湿汽损失和汽轮机机械损失 C动叶损失和中低压缸联通管的节流损失 D主蒸汽管道的散热损失和主汽门的节流损失 6、有回热的机组的给水温度__。(①) A随负荷的升高而升高 B随负荷的升高降低 C不随负荷变化而变化 D与负荷的变化无确定的关系 7、给水温度一定时,随回热级数的增加其热经济性。(B) A先增加后减少 B的增长率不断减少 C的增长率不断增加 D以不变的增长率增加 8、决定并列运行热力设备间负荷经济分配的是设备的__。(D)A能耗率和空载能耗 B煤耗率

山东大学管理学试题答案

管理学 简答题 1. 管理层次与管理宽度有关。较大的宽度意味着较少的层次,较小的宽意味着较多的层次。这样,按照管理宽度的大小及管理层次的多少,就可成两种结构:扁平结构和直式结构。所谓扁平结构,是管理层次少而管理宽度大的结构;而直式结构的情则相反。 2. 扁平式结构又称横向结构,是指管理层次少而管理幅度大的结构。优点是1缩短基层与上层组织之间的距离,密切上下级关系。2信息沟通渠道短捷,信息纵向流通快,降低管理费,调动积极性使其有较强的责任感,成就感。3上级可以更好的选择和培训有潜力的下级人员。缺点是:上级难以监督下级人员,加重同级间相互沟通联络的困难。直式结构又称高耸式结构,是指管理幅度小而管理层次多的机构。优点:分工明确、管理严密,上级对下级可以具体的指导和监督,并且给下级提供较多晋升机会。缺点:增加管理费用和各部门之间的协调工作,并且信息沟通速度慢,造成效率下降。同时层次过多使上层对下曾控制变得困难,影响系统整体优势的发挥,还会影响到下级人员主动性和创造性地发挥。 3. 矩阵制是将按职能划分的部门与按产品或按项目划分的小组(项目组)结合成矩阵型的一种组织结果形式。这种组织结构形式多用于项目管理。优点:具有灵活性的特点、充分调动项目的资源、统一协调与平衡、提高公司高层管理者对项目的信任;缺点:多重领导、项目经理与职能经理的权力的不均衡、对项目经理的能力要求较高。 4. X理论:X 理论认为人是不爱工作的,人性是被动的,人的本性反对改革、对组织漠不关心,人是需要有人管理的。一般人都好逸恶劳,尽可能逃避工作,因此对大多数人来说,仅用奖赏的办法不足以战胜其厌恶工作的倾向,必须进行强制、监督、指挥,并利用惩罚以进行威胁。一般人都胸无大志,满足于平平稳稳的完成工作,而不喜欢具有压迫感的创造性的工作。Y理论:Y理论认为管理者必须清楚员工个人的特性与环境特性之间的关系;他认为人并非天生好逸恶劳,若在适当激励下,人能激励自己而富有创造力,外界控制不是促使人努力的唯一方法。人在自我承诺与参与决策中,可以自我控制。让员工对他们的任务有责任感,工作丰富化,便能鼓励人员承担责任。人并不是懒惰,他们对工作的喜欢和憎恶决定于工作对他来说是一种满足还是一种惩罚;在正常情况下,人愿意承担责任;人热衷于发挥自己的才能和创造性。在Y理论中,他认为若建立可核实的目标制度,就可确保分权及授权。 5. 1、各成员核心能力和资源的互补性2、组织边界的模糊性3、组织结构扁平化4、组织构成的动态化5、对环境反应的敏感性与响应的敏捷性。

山东大学网络教育期末考试试题及答案-生理学B

生理学 B 一、单项选择题 1.引起组织发生反应的环境变化称为 A.刺激 B.反射 C.反馈 D.兴奋 2.寒冷引起甲状腺激素分泌增多,是属于 A.神经调节 B.体液调节 C.局部调节 D. 自身调节 3.心室肌收缩期及舒张早期相当于兴奋性的 A.低常期 B.相对不应期 C.有效不应期 D. 超常期 4.肾上腺素对受体的结合力是 A.都很强 B.对α强,弱 C.对β强,α弱 D.只对α 5.使细胞去极化达阈电位的刺激是 A.阈刺激 B.刺激阈 C.阈下刺激 D.阈强度 6.细胞膜主动转运的特点是 A.转运脂溶性物质 B.耗能 C.靠通道协同 D.顺电-化学梯度7.细胞膜内外存在的电位差通称为 A.动作电位 B.静息电位 C.跨膜电位 D. 局部电位 8.房室瓣关闭与动脉瓣开放间的时间相当 A.快速射血期 B.射血期 C.室缩期 D. 心室等容收缩期 9.下列哪种情况可使心输出量增加 A.刺激迷走神经 B.由卧位转为站立位 C.心率>180次 D. 颈动脉窦内压降低 10.静脉回心血量增多时,可引起 A.心室后负荷减少 B.心室舒张期延长 C. 心室前负荷增加 D.充盈期缩短 11.血浆pH值主要通过下列哪条途径维持的? A.血液B.消化道C.肾D.皮肤 12.呼吸频率从12次/分增加到24次/分,潮气量从500ml减少到250ml,则: A.肺通气量增加B.肺泡通气量增加 C.肺泡通气量不变D.肺泡通气量减少 13.在下列哪一时相中,肺内压等于大气压? A.吸气和呼气初B.吸气末和呼气初 C.呼气初和呼气末D.呼气末和吸气末 14.使胰蛋白酶原活化的最主要的物质是: A.盐酸B.组织液C.肠致活D.糜蛋白酶 15.促胰液素能促进胰腺分泌的特点是: A.大量的水分和碳酸氢盐,而胰酶含量很少 B.少量的水分和碳酸氢盐,而胰酶含量也很少 C.少量的水分和碳酸氢盐,而胰酶含量很丰富 D.大量的水分,而碳酸氢盐和胰酶含量很少 16.滤过分数是指: A.肾血流量/ 心输出量B.肾血浆流量/ 肾血流量 C.肾血流量/ 肾血浆流量D.肾小球滤过率/ 肾血浆流量

工程项目管理试题及答案.

2011年12月考试工程项目管理第一次作业 一、名词解释题(本大题共24分,共 6 小题,每小题 4 分) 1. 劳动力的动态管理 2. HSE管理 3. 工程项目建设程序 4. 紧迫情形 5. 进度控制 6. 索赔 二、计算题(本大题共20分,共 2 小题,每小题 10 分) 1. 已知网络计划如下图所示,在第五天检查时,实际进度情况为:A,B,C,D,G工 作已完成,E工作还未开始,H工作进行了一天.试用前锋线记录并用列表比较法. 分析进度情况 2. 某企业要进行一项大工程的建设,施工组织设计基本完成以后,发现本企业现有的机械均不能满足需要,故需要作出是购买设备还是向机械出租站租赁的决策.经测算,提供下表资料可供决策.

三、简答题(本大题共56分,共 8 小题,每小题 7 分) 1. 造成进度拖延的原因有哪些? 2. CM和MC方式的区别是什么? 3. 施工承包企业质量体系的有效运行应取决于什么因素? 4. 成本指标有哪两个?其计算公式是怎样的? 5. 可行性研究阶段的HSE管理的内容有哪些? 6. 在项目管理过程中,沟通存在哪些常见的问题? 7. 在矩阵式组织中,项目经理与职能经理之间的矛盾及相互依存主要表现在哪些方面? 8. 安装工程一切险的除外情况有哪些? 答案: 一、名词解释题(24分,共 6 题,每小题 4 分) 1. 参考答案: 劳动力的动态管理指的是根据生产任务和施工条件的变化对劳动力进行跟踪平衡、协调,以解决劳务失衡、劳务与生产要求脱节的动态过程 解题方案: 评分标准: 按照要点给分 2. 参考答案: HSE是英文Health Safety and Environment 的缩写.即强调在工程建设项目建设过程中重视人员的健康、安全以及对周围环境的保护 解题方案: 评分标准: 按照要点给分 3. 参考答案: 指一项工程从设想、提出到决策,经过设计、施工直到投产使用的全部过程的各

相关文档
最新文档