EDA技术的未来发展及应用课程综述

EDA技术的未来发展及应用课程综述
EDA技术的未来发展及应用课程综述

课程综述EDA技术的发展及应用趋势

课程名称在系统编程技术

任课教师

班级

姓名

学号

日期2012年5月20日

前言:EDA技术发展以及EDA技术的应用和发展趋势

正文:

一.EDA技术的概念

EDA的全称为Electronic Design Automation,EDA技术依靠功能强大的电子计算机,在EDA 工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC (Application Specific Integrated Circuit)芯片中,实现既定的电子电路设计功能。

二.EDA技术发展的三个阶段

EDA是在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。一般把EDA技术的发展分为CAD、CAE和EDA 三个阶段。

2.1 CAD阶段

早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到发展的初级阶段。初级阶段的硬件设计大量选用中小规模标准集成电路,人们将这些器件焊接在电路板上,做成初级电子系统,对电子系统的调试是在组装好的PCB(Printed Circuit Board)板上进行的。由于设计师对图形符号使用数量增加,传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求。这时,人们开始将产品设计过程中高度重复性的繁杂劳动,如布图布线工作,用二维图形编辑与分析的CAD工具替代,最具代表性的产品就是美国ACCEL公司开发的Tango布线软件。20世纪70年代,是EDA技术发展初期,由于PCB布图布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能比较差。

2.2 CAE阶段

随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单元的随机存储器和只读存储器。此外,支持定制单元电路设计的硅编辑、掩膜编程的门阵列,如标准单元的半定制设计方法以及可编程逻辑器件(PAL和GAL)等一系列微结构和微电子学的研究成果都为电子系统的设计提供了新天地。因此,可以用少数几种通用的标准芯片实现电子系统的设计。

伴随计算机和集成电路的发展,EDA技术进入到计算机辅助工程设计阶段。20世纪80年代初,推出的EDA工具则以逻辑模拟、定时分析、故障仿真、自动布局和布线为核心,重点解决电路设计没有完

成之前的功能检测等问题。利用这些工具,设计师能在产品制作之前预知产品的功能与性能,能生成产品制造文件,在设计阶段对产品性能的分析前进了一大步。

CAD工具代替了设计工作中绘图的重复劳动

CAE工具则代替了设计师的部分工作

此时EDA工具已经可以进行设计描述、综合与优化和设计结果验证大部分从原理图出发的EDA工具仍然不能适应复杂,电子系统的设计要求,而具体化的元件图形制约着优化设计。

2.3 EDA阶段

20世纪90年代以来,微电子工艺有了惊人的发展,工艺水平已经达到了深亚微米级,在一个芯片上已经可以集成上百万乃至上亿只晶体管,芯片速度达到了吉比特/秒量级,微电子技术的进步是现代数字电子技术发展的基础。百万门以上的可编程逻辑器件随即陆续面世,这样就对电子设计的工具提出了更高的要求,为EDA技术的形成提供了广阔的发展空间。

可编程逻辑器件的发展,使得微电子厂家可以为用户提供。各种规模的可编程逻辑器件,使设计者通过设计芯片实现电子系统功能。满足千差万别的系统用户提出的设计要求,即由用户自己设计芯片,让他们把想设计的电路直接设计在自己的专用芯片上。

微电子技术的发展,特别是EDA工具的发展,又为设计师提供了全线EDA工具。设计师可以在不太长的时间内使用EDA工具,通过一些简单标准化的设计过程,利用微电子厂家提供的设计库来完

成数万门ASIC和集成系统的设计与验证。

从20世纪90年代,设计师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成SOC (System on a chip)。

此时的EDA工具是以系统机设计为核心,包括系统行为级描述与结构综合,系统仿真与测试验证,系统划分与指标分配,系统决策与文件生成等一整套的电子系统设计自动化工具。

这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。例如,提供方框图、状态图和流程图的编辑能力,具有适合层次描述和混合信号描述的硬件描述语言(如VHDL、AHDL或

Verilog-HDL),同时含有各种工艺的标准元件库。特别重要的是世界各EDA公司致力推出兼容各种硬件实现方案和支持标准硬件描述语言的EDA工具软件,都有效地将EDA技术推向成熟。

今天,EDA技术已经成为电子设计的重要工具,无论是设计

芯片还是设计系统,如果没有EDA工具的支持都将是难以完

成的。EDA技术使得电子电路设计者的工作仅限于利用硬件

描述语言和EDA软件平台来完成对系统硬件功能的实现,极大地提高了设计效率,减少设计周期,节省设计成本。已经

成为现代电路设计师的重要武器,正在起作越来越重要的作

用。

三.EDA技术的优势

可以在电子设计的各个阶段、各个层次进行计算机模拟验证

有各类库的支持

某些HDL语言也是文档型的语言(如VHDL)

日益强大的逻辑设计仿真测试技术

设计者拥有完全的自主权,再无受制于人之虞

良好的可移植与可测试性,为系统开发提供了可靠的保证

能将所有设计环节纳入统一的自顶向下的设计方案中

自动设计能力、不同内容的仿真模拟、完整的测试

四.EDA的发展趋势

4.1在一个芯片上完成的系统级的集成已成为可能

可编程逻辑器件开始进入传统的ASIC市场

EDA工具和IP核应用更为广泛

高性能的EDA工具得到长足的发展

计算机硬件平台性能大幅度提高,为复杂的SoC设计提供了物理基础。

4.2 EDA技术的应用趋势

汽车电子行业发展趋势

当今的汽车就象“跑在轮子上的计算机”,发动机仓和轿箱内出现了大量的数字系统。在每一新车型开发中,车辆制造商采用非常复杂的电子系统来实现车辆安全、远程通信和信息娱乐功能。这些复杂系统的成本在不断攀升。在车辆总成本中,汽车电子系统占有22%,

预计这一数字将达到40%。汽车电子行业发展趋势

◆辅助驾驶

夜视

道路偏离报警

自动巡航控制

碰撞报警

辅助停车/倒车

胎压监控

平视智能显示

发动机管理

电子悬挂系统

线传操控

制动系统

动力转向

安全气囊

变速箱

汽车电子行业发展趋势

◆舒适设备和车辆控制

仪表板/组合仪表盘照明/座椅

空调控制

语音识别

远程无钥进入

雨刷/车窗控制

◆信息娱乐和通信

远程通信

导航/GPS

多媒体系统

音响系统

后座娱乐

游戏控制台

选台(SDR)

工业应用

使用FPGA作为协处理器或者芯片系统(SoC)解决方案,可提高工业应用的灵活性。FPGA相比其他方案拥有很多设计优势:

★可重新编程能力,甚至是在现场,也能够适应功能和协议的变化。★高度集成,内置硬核和软核知识产权(IP)模块、嵌入式处理器、收

发器、丰富的存储器资源以及其他功能等。

★由通用的高效能设计环境提供支持。

★功能安全:对于多种工业自动化应用而言,安全问题越来越重要。★I/O汇集器:支持PCI Express?的处理器与基于FPGA的I/O汇集器相连,或者连接辅助器件,这对于很多嵌入式工业应用而言是非常理想的选择。

★工业网络:工厂从串行现场总线通信协议标准发展到以太网系统,它支持机器和设备之间的实时、确定性互联。FPGA很容易支持实现适应协议标准变化的单一平台。

★机器视觉:机器视觉设备将高速摄像机和计算机组合起来,在数字图像采集和分析基础上,完成复杂的检查任务。一片FPGA怎样集成多种功能,支持各种不断发展的总线和摄像机接口。

★电机控制:设计电机控制应用几乎就是一门艺术,所有的算法都需要集成到一个设计中,以尽可能高的效率来运行。FPGA帮助更高效的控制电机,更精确的定位电机控制器,同时降低成本和功耗。★视频监控:监控摄像机的应用越来越广泛,必须能够提供宽动态范围(WDR)传感器等更复杂的功能。FPGA支持监控摄像机的高清晰图像处理功能。

?医疗市场

★诊断成像

★电疗

★心率处理

★生命科学

?军事和航空航天最终市场★安全通信

★雷达和声纳

★电子战

★导航和控制

★COTS计算机

?测试和测量最终市场

★通信、测试和监控

★半导体ATE

★通用仪表

◆消费类产品

★数字电视

★显示器/投影仪

★家庭网络

★便携式娱乐

★家用电器

★DVD播放器/刻录机

EDA技术已广泛应用于高校电类专业的实践教学中

各种数字集成电路芯片,用VHDL语言可以进行方便的描述,经过生成元件后可作为一个标准元件进行调用。同时,借助于VHDL开发设计平台,可以进行系统的功能仿真和时序仿真,借助于实验开发系统可以进行硬件功能验证等,因而可大大地简化数字电子技术的实验,并可根据学生的设计不受限制地开展各种实验。

EDA技术已广泛应用于科研工作和新产品的开发中

由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,EDA技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上等特点的利用,使其广泛应用于科研工作和新产品的开发工作中

EDA技术广泛应用于专用集成电路的开发

可编程器件制造厂家可按照一定的规格以通用器件大量生产,用户可按通用器件从市场上选购,然后按自己的要求通过编程实现专用集成电路的功能。因此,对于集成电路制造技术与世界先进的集成电路

制造技术尚有一定差距的我国,开发具有自主知识产权的专用集成电路,已成为相关专业人员的重要任务。

EDA技术已用于传统机电设备的升级换代和技术改造

传统机电设备的电气控制系统,利用EDA技术进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值。由于EDA技术是面向解决电子系统最基本最低层硬件实现问题的技术,因此就其发展趋势的横向看,势必涉及越来越广阔的电子技术及电子设计技术领域。其中包括电子工程、电子信息、通信、航天航空、工业自动化、家电、生物工程等等。而且随着大规模集成电路技术的发展和EDA工具软件功能的不断加强,所涉及的领域还将不断扩大;而从纵向看,EDA技术实现的硬件形式和涉及的理论模型必将走向一个统一的结合体,即单片系统SOC或SOPC。

总结:

参考文献:

模拟电子技术总结

模拟电子技术》院精品课程建设与实践 成果总结 模拟电子技术是一门在电子技术方面入门性质的技术基础课程,它既有自身的理论体系,又有很强的实践性;是高等院校工科电子信息、电气信息类各专业和部分非电类本科生必修的技术基础课,而且随着电子工业的飞速发展和计算机技术的迅速普及,它也不断成为几乎所有理工科本科生的必修课程。 我院模拟电子技术课程由原电子技术系首先开设,目前已建成由模拟电子技术、模拟电子技术基础实验、模拟电子技术课程设计三门课组成的系列课程。2002 年被列为学院精品课重点建设项目,2005 年获得学院教学成果一等奖。同年申报并获得四川省教学成果三等奖。 一、基本内容 1.确定课程在本科生基本素质培养中的地位和作用由于模拟电子技术课程的基础性和广泛性,使之在本科教育中起着重要的作用。通过学习,不但使学生掌握电子技术的基本概念、基本电路、基本分析方法和基本实验技能,而且由于本课程特别有利于学生系统集成的能力、综合应用能力、仿真能力的培养,可使学生建立以下几个观点,形成正确的认识论。 (1)系统的观念:一个电子系统从信号的获取和输入、中间的处理到最后的输出和对负载的驱动,各部分电路之间的功能作用、增益分配、参数设置、逻辑关系……都需相互协调、相互制约,只有不顾此失彼、通盘考虑、全面调试才能获得理想效果。 (2)工程的观念:数学、物理的严格论证及精确计算到工程实际之间往往有很大差距,电子技术中“忽略次要,抓住主要”的方法能引导学生的思维更切合工程实际。因而特别有利于学生工程观念的培养。 (3)科技进步的观念:电子技术的发展,电子器件的换代,比其它任何技术都快,学习电子技术可以让人深刻地体会到,在科学技术飞速发展的时代,只有不断更新知识,才能不断前进。学习时应着眼于基础,放眼于未来。 (4)创新意识:在阐述电子器件的产生背景、电路构思、应用场合等问题时特别具有启发性,电子电路可在咫尺之间产生千变万化,能够充分发挥学生的想象力和创造力,因而特别有利于创新意识和创新能力的培养。我们加强了场效应电路、集成电路和可编程模拟器件等新知识的介绍,拓宽了知识面,延续了所学知识的生命周期。 上述观念的培养,不仅为学生学习后续课铺平道路,而且培养了他们科学的思维方式和不断进取的精神,即使在工作后还会起作用,将受益一生。 2.创建先进科学的模拟电子技术课程教学结构电子技术学科是突飞猛进发展的学科,如何更好地解决基础与发展、基础知识与实际应用、理论与实践等矛盾,处理好知识的“博”新“”“深”的关系,建立先进和科学的教学结构,以适应不断更新的课程内容体系始终是我们改革的重点。 本课程建立起课堂教学、实验教学、网络教学和EDA 教学交叉融合的教学结构,如图所示。各教学环节各司其职,相辅相成,互相交融,实现“加强基础,注重实践,因材施教,促进创新”的同一个目标。

EDA技术基础实验报告

《EDA技术基础》 实验报告 学院:信息科学技术学院 专业:电子信息工程 指导教师:龙翔 完成日期:2013年12月 目录 实验一MAX-plusll 及开发系统使用 (3) 实验二高速四位乘法器设计 (6)

实验三秒表的设计 (9) 实验四序列检测器的设计 (13) 实验五数字频率计的设计 (18) 六实验总结 (20) 实验一 一:实验名称: MAX-plusll 及开发系统使用

二:实验内容 1.利用MAX-plusII中的图形编辑器设计一半加器,进行编译、仿真, 并将其设置成为一元件。 2.建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真,并将其设置成为一个元件。 3.再建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真。 4.选择器件“Assign”“Device”“MAX7000S”“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。然后下载,进行硬件测试,检验结果是否正确。 三.实验程序 1).半加器图 2)全加器图

3)四位全加器 四:仿真图 1).半加器仿真图

2).全加器仿真图 3).四位全加器仿真图

实验二 一:实验名称 高速四位乘法器设计 二: 实验内容 1.利用MAX-plusⅡ中的图形编辑器设计1-4的二进制乘法器,进行编译、仿真,并将其设置成为一元件,命名为and14。 2.建立一个更高得原理图设计层次,利用前面生成的1-4的二进制乘法器和调用库中的74283元件设计一高速4位乘法器。 三:实验程序 1.

电子技术基础总结

电子技术基础总结 电子技术基础总结怎么写?以下是小编整理的相关范文,欢迎阅读。 电子技术基础总结一由于中职学生理论基础差,同时又缺乏主动学习的自觉性,如果采用传统的教学方法会使学生认为学习难度大学不会因而失去学习的兴趣,致使课堂出现学生睡倒一片或不听课各行其事的现象。采用项目任务驱动式教学,重在培养学生完成工作和动手实践的能力。学生在具体的工作任务中遇到问题,就会带着问题主动学习,这样使学生变要我学习为我要学习,提高学习的主动性,这种教学模式既锻炼了学生解决实际问题的能力,同时也提高了教学质量和教学效率。 组织召开专题会 为了确保课改取得实效,机电一体化教研组组织有关教师召开专题会,就如何开展好课改工作进行讨论,认真听取这门课有经验老师的建议,制定出课改实施方案。 教学内容的选取原则 1、坚持课程与技能岗位相对接; 2、下企业调研岗位工作任务; 3、提取典型工作任务; 4、确定课程学习任务与技能目标; 5、注重培养学生的基本技能。

项目教学内容的确定 在对企业充分调研的基础上,进行工作任务的分类归总,提取企业典型工作任务,确定了涵盖电工基础、模电、数电三部分的八大块 内容共十三个学习情境。在确定的学习内容中较侧重电子部分,任务的层次也是由易到难,十三个学习情境如下图所示。 项目教学的组织实施 1、所谓项目教学法,就是在老师的指导下,将一个相对独立的项目交由学生自己处理,项目学习中有关信息的收集、方案的设计、项目实施及最终评价,都由学生自己负责,学生通过该项目的进行,了解并把握整个过程及每一个环节中的基本要求。 “项目教学法”最显著的特点是“以项目为主线、教师为引导、学生为主体”,具体表现在:目标指向的多重性;培训周期短,见效快;可控性好;注重理论与实践相结合。项目教学法是师生共同完成项目,共同取得进步的教学方法。 2、在项目教学法的具体实施过程中,学生们还是能够给予较积极配合的。《电工与电子技术》计划的每周7课时安排在一天内进行,其中2节为理论课时,其余5节为任务实训课。但由于教师人手不够,后改为4节理论,3节实训。相比于理论课,学生还是偏向于上实训课,更喜欢做训练动

EDA技术的认识和体会

EDA技术的认识和体会 摘要:本学期我对EDA技术进行了学习,通过学习,我掌握了部分EDA技术的知识。本学期对 EDA 技术的学习为我的专业知识学习打开了一个全新的窗口——微电子技术领域。对EDA 技术,我更是有了全新的认识。微电子技术的进步主要表现在大规模集成电路加工技术即半导体工艺技术的发展上,使得表征半导体工艺水平的线宽已经达到了纳米级。所以,集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展。而现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA 技术。 EDA技术的特点和优势 技术就是依赖功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言 HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA 技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA 软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。 EDA 技术在进入21 世纪后,得到了更大的发展。嵌入式处理器软核的成熟,使得SOPC 步入大规模应用阶段。电子技术领域全方位融入EDA 技术,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大的变化。同时,EDA 使得电子领域各学科的界限更加模糊,更加互为包容。这些都利于设计人员利用 EDA 技术进行电子系统设计,如全定制或半定制ASIC 设计,FPGA/CPLD 开发应用和印制电路板。从 EDA 技术的特点不难看出,相比于传统的数字电子系统或 IC 设计,EDA 技术拥有独特的优势。在传统的数字电子系统或 IC 设计中,手工设计占了较大的比例。因此,也存在很多缺点。例如:复杂电路的设计、调试十分困难;由于无法进行硬件系统仿真,如果某一过程存在错误,查找和修改十分不便;设计过程中产生大量文档,不易管理;可移植性差等。相比之下,EDA 技术有很大不同。它运用HDL 对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。由于有各类库的支持,能够完成各种自动设计过程。它极大地简化了设计文档的管理,逻辑设计仿真测试技术也日益强大。 VHDL 在现在的EDA 设计中使用最多,也拥有几乎所有主流EDA 工具的支持。 EDA工具 EDA工具在EDA技术应用中占据极其重要的位置,EDA的核心是利用计算机完成电子设计全过程自动化,因此,基于计算机环境的EDA软件的支持是必不可少的。EDA工具大致可以分为如下5个模块:设计输入编辑器;仿真器;HDL综合器;适配器(或布局布线器);下载器。 VHDL语言基础

《电子技术》课程设计心得体会

《电子技术》课程设计心得体会 设计,给人以创作的冲动。在画家眼里,设计是一幅清明上河图或是一幅向日葵;在建筑师眼中,设计是昔日鎏金般的圆明园或是今日一塑自由女神像;在电子工程师心中,设计是贝尔实验室的电话机或是华为的程控交换机。凡此种种,但凡涉及设计都是一件良好的事情,因为她能给人以美的幻想,因为她能给人以金般财富,因为她能给人以成就之感,更为现实的是她能给人以成长以及成长所需的营养,而这种营养更是一种福祉,一辈子消受不竭享用不尽。我就是以此心态对待此次《电子技术》课程设计的,所谓“态度决定一切”,于是偶然又必然地收获了诸多,概而言之,大约以下几点: 一、温故而知新。课程设计发端之始,思绪全无,举步维艰,对于理论知识学习不够扎实的我深感“书到用时方恨少”,于是想起圣人之言“温故而知新”,便重拾教材与实验手册,对知识系统而全面进行了梳理,遇到难处先是苦思冥想再向同学请教,终于熟练掌握了基本理论知识,而且领悟诸多平时学习难以理解掌握的较难知识,学会了如何思考的思维方式,找到了设计的灵感。 二、思路即出路。当初没有思路,诚如举步维艰,茫茫大地,不见道路。在对理论知识梳理掌握之后,茅塞顿开,

柳暗花明,思路如泉涌,高歌“条条大路通罗马”。顿悟,没有思路便无出路,原来思路即出路。 三、实践出真知。文革之后,关于真理的大讨论最终结果是“实践是检验真理的唯一标准”,自从耳闻以来,便一直以为马克思主义中国化生成的教条。时至今日,课程设计基本告成,才切身领悟“实践是检验真理的唯一标准”,才明晓实践出真知。因为在教材上,数字钟不过是由计数器和译码显码器组合而成,也便不以为然搭建电路图,结果电路出现诸多问题,譬如短路开路,EWB中引脚悬空即为低电平,现实中引脚悬空呈现大电阻特性即高电平,不为则不知,无为则无知,实践出真知。 四、创新求发展。“创新”目前在我国已经提升到国家发展战略地位,足见“创新”的举足轻重。而在DVD产品上市之初及以后相当长时间内,由于核心技术受制于国外,原本前景看好的国内市场却使国内DVD生产商无利可图或图的仅xx推荐:20XX/1018/special_仅蝇头小利,只因核心技术受制于人,使用国外专利技术,每台售出总要交付高额专利技术使用费。因此,我们要从小处着手,顺应时代发展潮流,在课程设计中不忘在小处创新,未必是创新技术,但凡创新思维亦可,未必成功,只要实现创新思维培育和锻炼即可。 五、过而能改,善莫大焉。至善至美,是人类永恒的追

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

电子技术课程设计总结报告(精)

课程设计总结报告 一、课程名称:数字电子钟的设计。 二、内容:设计并制作一台数字电子钟,完成设计说明书。 三、设计内容及要求: 设计内容:要求由所学的数字电子知识以及查阅有关资料设计并制作出一台数字电子钟。而且要完成电路的装配和调试。设计基本框图如下: 数字电子钟的基本框图 要求:1>.采用位数码管,显示范围0分00秒——9分59秒。 2>.提出至少两种设计实现方案,并优选方案进行设计。 3>.详细说明设计方案,并计算组件参数。包括选择的依据和原理,参数确定的根据。 4>.提倡有能力的同学在完成上述要求后,提出增强功能的设计方案。 四、比较和选写设计的系统方案,画出系统框图。 方案一:1>.振荡器由555定时器构成。在555定时器的外部接适当的电阻和电容组件构成多谐振荡器,再选择组件参数使其发出标准秒信号。 2>.计数器由74LS90集成记数构成。根据74LS90的菜单可以知道它是一个集成的 二—五—十进制计数器。对于分记数因为显示范围是0——9所以一块芯片就 可以构成。对于秒记数因为显示范围是0——59所以可以用两块并联构成100 进制计数器后再强制清零即可。再外设一定的控制电路。 3>.译码显示电路由74LS49作为译码驱动器和工阴极七段数码显示管构成。中间 设置一定的限流电阻即可。 系统框图如下: 方案一简化的系统框图

方案二:1>.振荡器和方案一相同仍由555定时器构成。 2>.计数器由74LS90构成。但是在记数方面和方案一不同,方案一是 符合平时记数逻辑,高位记数由低位进位得来。而在这个方案中则不是。 它的分记数、秒十位记数以及秒个位记数分别独立。各个计数器由共同的标准秒 振荡器驱动。只是分记数要经过一个60分频的电路,秒十位记数要经过一个10 分频的电路。而秒个位则直接接入。整个电路外加一定是设置电路即可。 3>.译码显示电路和方案一相同。 电路基本框图如下: 方案二简化系统框图 两方案的比较: 1、我们从分析电路可以知道两个方案在理论上都是可行的。 2、在难易程度方面:方案一电路设计简单,所用组件数目少,当然制作就比较简单, 而且在后期的调试和维护方面也就相对容易一些。但是在方案改进上就存在困难了, 比如要加一个校时电路就会十分复杂会使电路变的麻烦。 方案二相对与方案一就有点复杂,因为它多了两个分频电路,所用组件数目也就多, 不用数制作就会相对于方案一复杂一些,那幺在后期的调试和维护方面也就困难一 些。但是在改进方案方面就有独特的好处。因为它的各个记数电路相对独立,在操作 方面就可以分开处理。比如同样加一个校时电路就会十分方便的实现,只需要在各个 计数器电路设置一些简单的控制电路即可。 3、因为两个电路都是十分简单的电路,所用组件相对于一些大的电路来看就十分的少 了,因此在价格方面没有太多的差别,这方面就没有什幺比较的地方了。 4、在电路可靠性方面:因为方案一比方案二电路简单,根据电路的原则方案一应该是 比较可靠的。因为方案二的分记数和秒十位记数经过了分频电路,而秒个位没有经 过分频电路,因此在记数上会因为延时的原因使的记数误差增大。 综合上面的比较,而且这次的设计又没有要求设置校时装置,因此选用方案一进行设计,对于方案二可以经过改进后作为增强功能的改进方案进行设计。下面就以方案一进行电路的全部设计。 五、单元电路的设计、参数的计算和器件的选择。 1.标准秒振荡器的设计

EDA课程心得

EDA课程学习心得 这学期的后半期,我们开了EDA技术这门课程。EDA的中文解释是电子设计自动化,这门课程主要是用于对现代高新电子产品的设计,EDA在硬件方面融合了大规模集成电路技术,是一款综合性很强的工具。 这门课程的学习在教学中应该以实践为主,我们每周有两节课,一节是理论课学习,一节是实践课。刚开始上理论课程的时候,主要是对该软件的使用做介绍,而在实践课程上,我们应该对软件进行运用,但是,有很多的同学却没有干与课程相关的事,只有一部分的同学在练习。开始的时候,对软件很陌生,都是几个同学在一起研究,并且询问老师,才慢慢的掌握了使用方法。在后面的理论学习中,老师主要是讲解编程的一些语法,并且只讲了一些常用的,像信号量,变量,还有PROCESS语句等,这些是编程中常用的一些知识。在实践课上,主要是以实验指导书为主,根据指导书上的内容进行编程,画图仿真来对EDA技术的运用有更深入的理解。在每周一节理论课的学习情况下,很多的时间都是很珍贵的,学习理论的时间就那么一点,那么,肯定就不可能学习的很全面,老师主要是讲方法,更多的是要我们自己努力。这本教材还很不错,讲解的很详细,让初学者也能理解。然后实践课程是可以在课后也能练习,课上发现问题就能及时的询问老师,但是,课后就只能询问同学,或者是将问题留到课堂上再问老师。 这门课程学完最大的感触就是学习的时间太短了,这门课程听老师说对我们的以后工作是有很大的帮助的,但是我们却只学习了半个学期,只用了32个课时就结束了,这肯定是不够的。EDA技术可以完成各种自动设计过程,是目前最为瞩目的一项技术,它有强大的逻辑设计仿真测试技术。它的仿真测试技术只要通过计算机,就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后,还能对系统上的目标器件进行所谓的边界扫描测试。这一切都极大的提高了大规模的系统电子设计的自动化程度。现在的很多设计工作都需要先进行计算机仿真,如果没有错误,在运用到实际的硬件中,这不仅能提高设计速度,还能减少因为设计失误而造成的原料浪费。学好一门仿真软件对于我们本科学生是必不可少的,因为以后毕业了如果从事设计方向的工作,那必然要求我们有这样的一门技术。 以下是我在这门课程的学习过程中总结的几点建议,希望老师能够耐心的看

应用电子技术工作总结

篇一:应用电子技术专业工作总结 应用电子技术团队工作总结 本学期,应用电子技术团队在我分院的正确领导下,在各团队的支持下,全面贯彻落实科学发展观,贯彻落实党的十七大、十七届三中全会、省委五届三次全会、市委十一届三次、四次全会精神,紧紧围绕学院中心工作,充分发挥团队的积极作用,团队全体教师团结一致,对分配的各项工作任务都能认真对待,按时完成,分院领导对我团队的工作给予了充分的理解和肯定,现将团队工作总结如下: 一、加强学习,提高素质 做好办公室工作,必须要有较高的政治理论素质和分析解决问题的能力。应用电子技术团队全体教师认真学习我党各项方针政策,提高政治素质;及时了解、掌握科技发展的新动向、新经验;积极参加相关部门组织的形式多样的学习培训活动,团队教师的政治理论水平、业务素质和工作能力不断提高。树立高度的服务意识,服务态度和蔼、热情、耐心、细致,为学院发展提供了坚实的后备力量。团队成员工作中能够注意态度和方法,从工作的大局出发,互相支持,同志们的关系非常和谐。 二、分工明确,工作到位 1、保质保量完成教学工作 作为教师,教学工作是我们工作的核心,团队教师能够以大局为重,认真选课,积极备课,精心上课,无任何教学事故,保质保量完成了教学工作。2、积极参与科研、教改、课改各项工作 我团队四位专业教师均能积极参与各项科研工作,其中包括国家级,省级,院级各项课题的立项和研究工作;能够积极进行教学改革和考试改革,在提高教学质量和教学效率方面效果显著;在课改方面,我团队全员参与,认真撰写课改方案,将新思想、新方法贯穿整个教学之中,是学生受益,教师提高。 3、积极参与学院创建工作和评估工作 团队教师在学院骨干院校创建过程中表现突出。均能主动参与创建工作,在工作中任劳任怨,先大家后小家,工作表现得到了学院认可。我院评估工作正在如火如荼的进行,我团队教师能够主动配合学院工作,按时上交各项材料,为学院圆满完成评估工作贡献力量。 4、配合学院招生和就业工作 本学期我团队教师积极参与了分院学生的招生和就业工作。在就业环节中,我们主动联系相关企业单位,与之签署顶岗实习协议和就业订单协议,为学生百分百实习大下了良好基础。在六月初的招生工作中,团队全体动员,以各种途径加强宣传,为我分院和学院的招生工作提供保障。 三、加强协调,保证沟通 团队全体人员与其他各部门加强沟通,密切配合,互相支持,保证全局整体工作不出现纰漏。督促、协调学院的部署在团队的落实情况;传达领导指示,反馈各种信息,做到了快捷和实效,保证全局上下政令畅通。励志耕耘锐意进取。经过不懈努力,虽然本团队圆满完成了本职工作,但还有更多的挑战等待着我们,应用电子技术团队将继续发扬勤奋学习,团结协作的精神,为学院发展贡献力量。篇二:2012应用电子技术专业毕业实习总结 广州铁路职业技术学院 毕业实习总结 院系:机械与电子学院 专业:应用电子技术 班级:电子09-1班 学号: 0505090149 姓名: xxx 实习单位:锐丰音响科技股份有限公司毕业实习总结

eda课程设计心得体会

eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心得体会一这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

电子技术课程设计总结报告

电子技术课程设计总 结报告

摘要 (3) 第一章设计指标 (4) 1.1设计题目 (4) 1.2设计任务和要求 (4) 1.3设计原理 (4) 第二章系统方案 (5) 2.1 系统模块及框图 (5) 2.2 单元电路设计 (6) 2.2.1 秒基准信号发生器 (6) 2.2.2 计数器 (7) 2.2.3 数码显示 (8) 2.2.3 校时切换电路 (8) 2.2.3 校时切换电路 (9) 2.2.4 整体电路图 (9) 2.2.5 部分芯片实际引脚图及功能 (11) 2.3 multisim 仿真 (12) 第三章方案总结 (12) 3.1 元件清单 (12) 3.2电路及方案的特点 (12) 3.3 心得体会 (13) 参考文献: (13)

摘要 时钟是生活中必不可少的工具,实际生活中,时钟小巧精致甚至很多是作为另一个工具的附加物(如手机、收音机等)。但实际上时钟的原型——脉冲源是时序逻辑电路完成其逻辑功能的基础。如果电源是数字电路的发动机的话,那么时钟源就是它的轮胎使它能向前运行,所以几乎所有电子产品都离不开时钟源。本设计目的不在制作生活用的电子时钟,而是希望通过对电子钟的分模块设计,加深对震荡电路、波形转换、分频器、计数器、数据选择器、译码器、数码管等的理解,加强对实际集成器件的应用,锻炼电路焊接技术和检查排错能力。 本设计通过32768Hz晶体和14位二进制分频器4060产生2Hz的脉冲信号,再通过JK 触发器4027组成的二分频器产生1Hz秒脉冲,比基于555定时器的时钟源精确和稳定。显示部分采用CD4511驱动共阴极7段数码管。校时部分采用四二选一数据选择器74157芯片选择正常走时或手动校时。 设计过程中先使用multisim11.0进行仿真设计,后又进行实际焊接。

电工电子技术课程总结

《电工电子技术》课程总结 魏绍亮 (山东科技大学机电学院) 一、原课程存在的问题分析 该课程是采矿工程专业的一门专业基础课,不仅要求学生掌握电工与电子的基本理论和基本方法,并且要求学生具有较强的实践能力。原课程主要存在以下问题: (1)内容多而杂,学时少。由于本课程内容涉及面广且较杂,既包含了电路的知识,模拟电子、数字电子,同时又有电机及其控制等内容,它是电学科的一门主干课程。由于内容多,而学时较少,给该课程的教学带来了不少困难和问题,如何利用有限的学时完成课程任务,成了该课程最突出的矛盾。所以,在教材选择上、内容体系上、教学方法上等需要改革创新。 (2)教学内容,教学方法上陈旧。社会要求学生不仅掌握深厚的理论知识,而且要具有较强的动手能力。而该课程又是一门动手能力要求很高的课程,所以,必须加强实验改革,加强学生综合性和验证性实验的训练,大大提高学生的综合思维能力和动手能力。 (3)新技术、新方法不断出现,原教学内容却反映很少。由于新技术和新方法的不断发展,本课程所涉及的内容也在变化,与电工电子技术相关的许多新技术和新方法不断涌现。要提高学生未来社会的竞争能力,就必须让学生掌握这些新技术和新方法,在课程教学中予以体现。 二、课程改革的原则和做法 总的思路就是利用有限的学时让学生既能较好地掌握基本理论和基本方法,又能尽量加强新理论、新方法的学习,同时增加综合性、设计性实验,提高学生的动手能力和综合思维能力。 具体安排: (1)选择质量高,学时和内容相当的教材。如面向21世纪的国家级优秀教材:高等教育出版社出版,唐介主编的《电工学》,叶挺秀主编的《电工电子技术》等,都可优先选用。 (2)教学方法上采用课堂黑板教学与多媒体教学相结合的方法,提高课堂

EDA技术课程设计报告

贵州大学 EDA技术课程设计报告 题目:BCD码加法器 院系计算机科学与技术学院 专业计科121 学号1208060061、1208060058、1208060065 学生姓名张飞宇、王红强、匡金军 指导教师夏玉勤

设计BCD码加法器 一、设计任务及要求 (1)课程设计意义: 对BCD码加法有了进一步了解; 学习了quartus II设计软件,初步了解了FPGA; (2)设计要求: 利用Verilog HDL语言,编写一个4位BCD码加法器程序,输入用八个开关分别表示两个BCD码,输出结果用数码管显示。 主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选 (3)主要功能: 输入两个4位BCD码,相加结果显示在数码管上。 我组在要求的功能上添加了一些代码,使输入结果也能在数码管上显示。 (4)设计思路: 图1 简易结构图 如图1所示:A,B分别是2个输入数字,S0-S1为输出,经过BCD 加法器的运算,能实现BCD码加法功能。 (1)T=A+B,若T>10,则Z赋值为10,同时进位(2)C=1,和值低位(3)S0=T-Z,和值高位(4)S1=C。 二、基于Verilog语言的电路设计、仿真、综合 硬件及软件电路设计及描述

图2 顶层模块图 图3 RTL (1)数据的产生与输入 通过J1~J8八个单刀双掷开关在+5V和GND之间的切换来产生两个4位8421BCD码作为输入的数据,当开关打到+5V时输入数据1,打到GND时输入数据0。其中J1~J4分别为数据A3~A0,J5~J8分别为数据B3~B0,且A3~A0、B3~B0 的位权依次降低(8421)。 (2)加法电路 把上面得到的两个四位8421BCD码分别输入4008BD全加器的输入端A3~A0、B3~B0,同时CIN输入端接低电平。则S3~S0输出计算结果,COUT为

学习《电子技术基础》的一些心得体会

学习《电子技术基础》的一些心得体会 ZD8898 一.电子技术基础是通信、电子信息、自动控制、计算机等专业的 专业基础课程 电子技术基础包含了《模拟电子技术基础》和《数字电子技术基础》两门最重要的专业基础课程。是上述专业最底层,最基础的课程。首先要从思想上高度重视这两门基础课的学习,你才能学好这两门课。如果这两门基础课程学不好,可以肯定,其它的专业课程也学不好。因为没有扎实的电子技术方面的基础,就无法理解和掌握其它的专业课程的知识。例如高频电路、自动控制、计算机接口电路、微型计算机技术等等。假如你对放大、反馈、振荡、滤波电路都读不懂,你怎么能读懂彩色电视机电路图、DVD电路图?如果你对数字电路一窍不通,你怎么去学习计算机硬件和软件知识?你怎么能成为出色的电气工程师? 二.培养对电子技术的兴趣,使你学好电子技术有充足的学习动力 大家都知道,如果你想要学习某个方面的知识和技能,就必须对这方面有浓厚的兴趣才能学好。 例如歌手,除了其本身有好的嗓子外,他(她)们肯定对唱歌有浓厚的兴趣,他(她)们才能如此刻苦去学习,才能成为百姓们喜爱的歌唱演员。中央电视台〈星光大道〉节目中出来的歌手,如李玉刚、阿宝、朱之文、石头、玖月奇迹、凤凰传奇、王二妮等等就是最好的例子。 同样,学习电子技术基础也如此。只有对这门课程有兴趣,不是老师要我学,而是我要学。只有这样自己才能变被动学习为主动学习,才能学好电子技术基础。 本人能从事电子技术工作数十年,其中一个非常重要的原因就是爱好电子技术,对电子技术有浓厚的兴趣。我在大学学的专业是物理专业,而不是电子专业。毕业后分配到三线的工厂,当时正是文化革命时期,到了工厂就接受工人阶级再教育,六、七年的时间,和其它工人师傅一样,一直在车间生产第一线。三班倒,干的是高温作业,又热又累的工作。尽管干的别的工种的活,但我热爱电子技术。到工厂之后,对电器、电子特别有兴趣。就自学电工、半导体以及电子方面的知识。自己组装收音机、电视机等。电子技术的水平得到提高。在车间实现了多项技术革新。如程序控制的熔结炉、涡流棒材探伤仪等。后来成为电气工程师。80年代,本人又从研究所调回学校,从事科研和教学工作。同时负责实验室的仪器设备的电器维修工作。所以说兴趣爱好是学习的动力和源泉。本人深有体会。 三.电子技术基础是比较难学的课程。 无论是〈模拟电子技术基础〉或〈数字电子技术基础〉课程都是难度较大的课程。

电子技术教学总结

教学总结 《电子设计电子产品装配》作为电技班的专业基础课,是学生具备电子电工技术、是电工高素质劳动者和中初级专门人才所必需的电工电子技术基本知识及基本技能。通过对本课程的学习可以初步具有解决实际问题的能力,为其他专业技术打下基础。同时本课程的知识面广,内容丰富,实践性强,教材中还涉及了当前电工电子领域中的新知识、新技术、新工艺、新方法。所以在本学期的教学中,我始终以理论教学为主,实训操作为辅的方式,结合学生们的基础薄弱、积极性不高的现状,最大限度地激发学生的学习兴趣。下面是我这段时间以来的工作总结 教学计划完成情况:1、理论基础理部分:这段时间以来带动学生学习了电子基础部分:二极管、三极管及PCB板、电子元件等知识。让学生对电子电路有了基本的认识,对各种常规的电子元器件有了一个基本的认识,学会了简单电路的认识、计算基本物理量;掌握了常用电子元件的外形特征、表示符号、常用功能2、实这段时间以来的实训课程也主要是配合理论教学开展的,从对基本电子元器件的认识,万用表的正确操作,基本并联、串联电路的连接,元件焊接的实训操作。 学生学习情况:这段时间以来部分学生对《电子设计电子产品装配》等这门课程理论部分的学习还是较好的,部

分学生能基本了解所授课程的主要内容,同时也能完成布置的部分作业。对基本电子元器件、二极管、三极管、数字电路部分基础知识等都能掌握。对于实训操作部分,学生们掌握了万用表的电压、电流和电阻的测量及正确读数和电子元件的焊接。大部分学生平时上课都还是比较认真,能按时完成布置的课外作业,只有部分学生学习积极性和主动性不高。 教学情况反思:这段时间以来的任教,自己也有很多做得不足的地方,希望自己能通过不断的总结教学中的经验和不足,在今后的教学中加以改正,学习其他老师的优点来弥补自己的不足,争取自己的教学能逐步完善。我作为17级电技班的《电子设计电子产品装配》这门课程的老师,这一个学期以来,我做到了课前认真备课,写详细的教案;上课时充分发挥自己的语言表达能力,结合实践操作,同时也充分给学生们拓展自己的经历和社会企业需要学生们具备的其他课外知识;课后认真耐心地批改每一次的作业,让学生有效地巩固所学知识;实训时尽量安排一些与课程相关并且有用的实训操作,既调动学生的积极性也让他们在实训中能学到一些知识、掌握一些基本的操作技能。

EDA仿真实验报告

EDA仿真实验报告 姓名: 学号: 班级:

一.实验目的 1.了解EDA技术的发展、应用。 2.学习Multisim的使用。 二.实验内容 1.与非门实现四舍五入 2.用74LS138和必要的门电路设计一个表决电路 3.用74LS85设计四位数值比较器 三.实验软件与环境 1.EDA技术 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。 2.EDA的应用 EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。 主要是了解EDA的基本概念和基本原理、使用EDA的某种工具进行电子课程的实验并从事简单系统的设计,为今后工作打下基础。

3.Multisim Multisim是Interactive Image Technologies公司推出的以Windows 为基础的板级仿真工具,适用于模拟/数字线路板的设计。 本实验使用了NI Multisim 14.0 软件。 四.实验内容与步骤 1.与非门实现四舍五入电路(只能用与非门) 真值表: 逻辑表达式:F=m(5,6,7,8,9)

2.用74LS138和必要的门电路设计一个表决电路 真值表: F=M'ABC+MA'BC+MAB'C+MABC'+MABC=MAB+MAC+MBC+ABC 电路方面要注意输出为低电平,所以要用与非门。

电力电子技术课程重点知识点总结

1.解释GTO、GTR、电力MOSFET、BJT、IGBT,以及这些元件的应用范围、基本特性。 2.解释什么是整流、什么是逆变。 3.解释PN结的特性,以及正向偏置、反向偏置时会有什么样的电流通过。 4.肖特基二极管的结构,和普通二极管有什么不同? 5.画出单相半波可控整流电路、单相全波可控整流电路、单相整流电路、单相桥式半控整流电路电路图。 6.如何选配二极管(选用二极管时考虑的电压电流裕量) 7.单相半波可控整流的输出电压计算(P44) 8.可控整流和不可控整流电路的区别在哪? 9.当负载串联电感线圈时输出电压有什么变化?(P45) 10.单相桥式全控整流电路中,元件承受的最大正向电压和反向电压。 11.保证电流连续所需电感量计算。 12.单相全波可控整流电路中元件承受的最大正向、反向电压(思考题,书上没答案,自己试着算) 13.什么是自然换相点,为什么会有自然换相点。 14.会画三相桥式全控整流电路电路图,波形图(P56、57、P58、P59、P60,对比着记忆),以及这些管子

的导通顺序。 15.三相桥式全控整流输出电压、电流计算。 16.为什么会有换相重叠角?换相压降和换相重叠角计算。 17.什么是无源逆变?什么是有源逆变? 18.逆变产生的条件。 19.逆变失败原因、最小逆变角如何确定?公式。 做题:P95:1 3 5 13 16 17,重点会做27 28,非常重要。 20.四种换流方式,实现的原理。 21.电压型、电流型逆变电路有什么区别?这两个图要会画。 22.单相全桥逆变电路的电压计算。P102 23.会画buck、boost电路,以及这两种电路的输出电压计算。 24.这两种电路的电压、电流连续性有什么特点? 做题,P138 2 3题,非常重要。 25.什么是PWM,SPWM。 26.什么是同步调制?什么是异步调制?什么是载波比,如何计算? 27.载波频率过大过小有什么影响? 28.会画同步调制单相PWM波形。

EDA技术与VHDL总结提纲初稿

EDA技术与VHDL总结提纲初稿 提示: 详细阅读相关参考书上的例子程序,参考书课后习题,掌握程序编制的思路,有时间的朋友可以在实验平台上实际操作。 知识点绪论 a)应用VHDL进行系统设计的基本步骤。 b)应用VHDL进行系统设计与传统的数字电路设计的优势。 c)CPLD/FPGA和单片机,DSP,ARM等其他控制器比较,其优势是什么?(为什么 要用CPLD/FPGA?) d)基本名词:CPLD,FPGA,JTAG,ISP,ASIC等的含义? 知识点VHDL入门 a)简单VHDL程序的结构,教材的例子,请仔细研读。 b)基本逻辑门的电路符号。 知识点VHDL程序结构 a)实体:深刻理解实体的含义,实体的语法格式,给出电路符号能够写出实体,给出 实体能画出电路的符号。 b)结构体:深刻理解结构体的含义,结构体的语法格式,了解结构体的子结构。 c)了解子程序的定义格式,说明格式,子程序的使用格式,子程序定义的位置,使用 的位置。 d)了解库的含义,库的使用格式。 e)了解程序包的定义格式,使用格式,程序包使用和定义的位置。 f)进程:深入理解进程的含义,进程的语法格式,进程启动条件,进程的同步。 g)了解块语句的格式。 知识点VHDL的词法单元 a)了解VHDL文字规则。 b)理解VHDL数据对象:变量、信号、常量,注意他们的定义位置,使用位置,区 别。 c)数据类型:了解VHDL的基本数据类型,理解VHDL是一门强数据类型语言,掌 握数据类型的定义格式,了解STD_LOGIC等常用数据类型的含义,定义格式,使 用注意事项。 d)操作符:了解各种常用的操作符,注意操作符使用时操作数的数据类型 知识点顺序语句。 a)顺序语句和并行语句是考核的重点。 b)赋值语句:信号赋值、变量赋值,信号和变量赋值的区别,信号和变量赋值的语法 格式,理解信号赋值具有δ延时。 c)流程控制语句 i.IF语句。 ii.Case语句。 iii.Loop语句。 iv.掌握这三种语句的语法格式,这三种语句的注意事项,这三种语句和C语言的类似语句的区别。 d)wait语句:了解wait语句的语法格式,掌握wait语句在进程中的使用方法,掌握 时钟边沿的描述方法。

电子技术总结

《电子技术及其应用基础》 课程学习总结 电子技术及其应用基础系统地讨论数字逻辑系统和数字电路的建模、分析和设计方法,内容包括逻辑系统基本特征、数字电路基本特征、数字逻辑信号特征、数字逻辑的分析和设计方法、数字电路分析和设计方法。比较详细地介绍了HDL设计方法的特点以及现代数字逻辑电路系统的设计技术,其中包括行为描述、仿真验证以及测试方法。 电子技术及其应用基础突出强调数字逻辑系统模型与数字电路模型之间的关系,以数字逻辑系统行为特性和数字电路行为特性为核心,介绍用数字电路实现数字逻辑系统的基本技术和方法。这些都是现代数字电子技术应用的基本概念与技术。 数字逻辑的物理概念和数学概念; 数字逻辑系统的分析方法与分析技术; 电路模块的物理模型和数学模型; 数字逻辑系统的软件实现犯法与技术; 系统分析技术和分析工具的应用; 系统设计技术与工具的应用; 数字电路集成技术的基本概念。 一、学习内容 数字逻辑系统 数字电路的基本概念:指用数学方法描述和研究事物之间逻辑关系的科学和工程技术。 数字关系和逻辑系统:有些事件之间的关系不需要考虑数量的大小,只需要考虑个事件的“有”、“无”以及逻辑因果关系,称为逻辑关系,描述逻辑关系的系统称为逻辑系统。

数字逻辑:用“0、1”来描述事件的“有、无”的方法称为数字逻辑。 数字逻辑中的数:0和1只代表有或无、是或非,即0和1只代表两种逻辑状态,不具有数字意义。 数字逻辑变量:指代表事件逻辑状态的变量,其值只能是0或1。 数字逻辑基本运算:数字逻辑的基本运算只有或、与和非。 数字逻辑系统:以数字方式工作的逻辑系统。 数字逻辑模型:表征形式多样性、表现形式多样性和逻辑模型多样性。 数字电路 数字电路的基本概念:是指与模拟电子电路相对应的一种特殊电路,是用来实现数字逻辑系统的基本电子电路。 数字电路信号和逻辑电平:模拟电子电路所处理的是模拟点信号,数字电路所能处理的信号只有数字逻辑信号(工程中简称数字信号或逻辑信号),模拟信号是时间连续、幅度也连续的信号;逻辑电平用电压信号的高(高电平)和低(低电平)来代表逻辑值1和0。 特点:数字电路信号用电压高、低表示逻辑值;在高电平和低电平之间快速变化,因此又称脉冲信号;数字电路对数字信号采用门限值判别的方法识别。 串行/并行数字信号:并时,要特别注意逻辑信号时间上的关系,这种关系称为时序;串时,每次只能处理1位二进制数据。 数字电路的基本特点:只能处理逻辑电平信号;数字电路系统机构设计的基本依据是逻辑系统结构;数字电路能实现相应的数字逻辑运算关系;数字电路的基本参数都采用标准参数。 数字电路的物理模型:是指根据数字电路的工作条件和电路结构所绘制的叔祖电路图。 工程问题的数字逻辑模型 工程问题的数字逻辑模型:是指对工程问题的数字逻辑抽象描述。 数字逻辑电路与系统的分析和设计工具 数字电路系统技术的发展趋势与学习目标

相关文档
最新文档