数电期末复习提纲

数电期末复习提纲
数电期末复习提纲

1.二、八、十、十六进制数的转换;

要求:会将二、八、十六进制数转换为十进制数;会比较各种进制数之间的大小(二进制B;八进制O;十六进制H)

例1:将下列各种进制数转换为十进制数:

1101B=1×23+1×22+0×21+1×2o=13;

3CB0H=3×163+12×162+11×161+0×16o=15536;

3245O=3×83+2×82+4×81+5×8o=1701。

例2:将十六进制数F9、二进制数11111010、八进制数370转换为十进制数,并与十进制数246进行比较,求出其中最大的数。

2.数字电路中的基本逻辑关系(与、或、非、与非、或非、同或、异或)及其运算;

要求:掌握与、或、非、与非、或非、同或、异或逻辑的符号、运算规则;会根据文字的要求或波形图,判断基本逻辑关系。

与:“有0为0,全1为1”;F=A?B

或:“有1为1,全0为0”;F=A+B

非:“0非为1,1非为0”;F=A

与非:“有0为1,全1为0”F=A?B

或非:“有1为0,全0为1”F=A+B

同或:“相同为1,相异为0”F=A⊙B

异或:“相异为1,相同为0”F=A⊕B

例1:0?A=( 0 );A+A=( A );若A≠0,A则A=( 1 );1=(0)A⊕1=(A);A⊙1=( A );A?1=( A );

例2:在什么情况下,“或非”运算的结果是逻辑1。(1)

1.所有输入都为0;

2.任意一个输入为0;

3.仅有一个输入为0;

4.所有输入都为1;

例3:能实现如图所示输入输出波形

的逻辑门是(异或门)。

3.逻辑代数的基本运算(公理和基本定律;代入规则、反演规则、对偶规则;常用公式);

要求:掌握逻辑代数的基本运算(公理和基本定律),能利用反演规则、对偶规则求反函数和对偶式,能用公式法化简逻辑函数。

公理和基本定律:

(1)1=0;0=1 (2)1?1=1;0+0=0

(3)1?0=0?1=0;1+0=0+1=1 (4)0?0=0;1+1=1

(5)如果A≠0,则A=1;如果A≠1,则A=0。

常用公式:

(1)吸收律:A+A?B=A;A(A+B)=A;A+AB=A+B;A?(A+B)=A?B

(2)还原律:AB+AB=A;(A+B)(A+B)=A

(3)冗余律:

公式法:

(1)并项法:A+A=1 例:

(2)吸收法:A+AB=A 例:

(3)消去法:例:

(4)配项法

AB+BC+BC(A+A)+AB(C+C)=AB+BC+AC

例1:公式法化简下列逻辑函数:

ABC+ABC=(AB)

AB+AC+BC=(AB+AC)

例2:求反函数

1)若F=AC+D(A+BC),则F=((A+C)[D+A(B+C)])

2)若AB+CD,则F=((A+B)(C+D))

例3:求对偶式

1)若F=D(A+B)+BD,则F'=((D+AB)(B+D))

2)根据对偶规则,若已知A(B+C)=AB+AC,则A+BC=( (A+B)(A+C))。

4.逻辑函数的表示方法;

要求:掌握逻辑函数的四种常用表示法―函数表达式、真值表、卡诺图、逻辑图。

例1:函数F=AB+C,可以用如图所示真值表表示。

例2:写出如图所示逻辑电路的逻辑表达式。

F=AB+AC

5.逻辑函数的卡诺图化简法;

要求:掌握函数为最小项表达式、具有约束条件两种情况下的卡诺图化简法。

例1:用卡诺图化简逻辑函数

1)F=XY+XYZ+XYZ

2)F=ABCD+ABCD+ABCD+ABCD。

例2:用卡诺图化简下列具有约束条件为∑d=AB+AC的函数,并写出最简与或表达式。

1)F=AB+AC

2)F=ABC+ABD+ABD+ABCD

6.常用TTL门电路(OC门、三态门)工作特点、基本门电路工作波形.

例1:OC门(集电极开路的门电路)不同于一般的门电路,其输出端可以直接相连,从而实现(“线与”)的逻辑关系。

例2:三态门的输出除了0、1两种状态之外,还有第三种状态(高阻状态)。

例3:(习题2.6)

试写出图所示电路的逻辑表达式,并画出电路的输出信号L的波形。

7.计数型触发器(T′)工作波形、D触发器、JK触发器工作在计数状态的条件及工作波形。(图3.8;习题3.5、3.6)

计数型触发器(T′):D触发器:JK触发器:

例1:(习题3.3)

在图所示的基本RS触发器中,已知R和S端输入波形如图所示,试画出Q端输出波形。

例2:(习题3.5)

已知电路如图所示,输入信号波形如图,试画出各触发器输出Q端波形,并分析该电路有何用途。设触发器Q初始状态为0。

例3:(习题3.6)

如图所示,在由JK触发器组成的电路中,已知输入波形,试画出输出波形。设触发器Q初始状态为0。

8.二进制编码、译码的概念;

例1:将具有特定意义的信息编成相应二进制代码的过程,称为编码。能够实现编码功能的电路称为编码器。

例2:若对26个英文字母(大、小写)进行二进制编码,至少需要(6)位二进制数。

例3:译码是编码的逆过程。由于编码是将含有特定意义的信息编成二进制代码,因此译码则是将表示特定意义信息的二进制代码再翻译过来(把代码的特定含义翻译出来的过程称为译码)。能够实现译码功能的电路称为译码器。

9.计数器构成、基本原理;计数器用于分频时的相关概念;

计数器是由若干个基本逻辑单元—触发器和相应的逻辑门组成。

例1:如果T′触发器的CP脉冲信号频率为200KHZ,那么输出信号Q的频率即为(100KHZ)。

例2:将频率为512HZ的信号分频获得频率为2HZ的信号,需要(8)个触发器。

解析:512HZ÷2HZ=256HZ 256HZ=2 →X=8

例3:构成模16计数器需要(4)位D 触发器组成;构成模10计数器需要(4)位、模6计数器需要(3)位、模4计数器需要(2)位D 触发器组成。 10. 同步置数法、异步清零法实现任意进制计数(74LS161);

要求:掌握在用同步置数法、异步清零法实现任意进制计数时反馈电路的正确连接及规律。

例1:习题5.7。

例1:试用四位二进制同步计数器74LS161实现模6计数器(分别采用同步置数法和异步清零法)。

11.移位寄存器的概念、分类及其特点;

1.用以暂时存放二进制代码的电路称为寄存器。

2.若数据或代码只能并行送入寄存器中,需要时也只能并行输出,把这种功能单一的寄存器叫做基本寄存器,又称数据寄存器,或锁存器。

3.具有存放数码和使数码逐位右移或左移的电路称作移位寄存器,又称移存器。

4.移位寄存器又可分为单向移位寄存器和双向移位寄存器。

5.双向移位寄存器又称可逆寄存器,这种寄存器中的数据,在移位脉冲的作用下,既可依次向左移动一位,也可依次向右移动一位。 12.存储器的种类、RAM 的扩展(习题7.2~7.5相关内容);

1.存储器主要分为RAM 和ROM 两大类。

2.RAM 进行位扩展时不需增加地址线。

3.RAM 进行字扩展时要增加地址线(根据字扩展的多少)。

4.将64×2RAM 扩展为256×4的RAM 存储器,既有位扩展、也有字扩展,共需8片64×2RAM ,增加2根地址线。

5.地址线由字决定。

7.2现有容量为256×8RAM 一片,试回答:

(1)该片RAM 共有多少个存储单元? 256×8 (2)RAM 共有多少个字?字长多少位? 256;8

(3)该片RAM 共有多少条地址线? 2 =256→8条 (4)访问该片RAM 时,每次会选中多少个存储单元? 8位→8个 13.A/D (模拟/数字转换)、D/A (模拟数字/模拟转换)转换基本概念;

1.数模(D/A )转换是将数字量转换为模拟电量(电流或电压),使输出

的模拟电量与输入的数字量成正比。实现这种转换功能的电路叫做数模转换器,简称DAC。

2.模数(A/D)转换则是将模拟电量转换为数字量,使输出的数字量与输入的模拟电量成正比。实现这种转换功能的电路称为模数转换器,简称ADC。(通常经过4个步骤:采样、保持、量化和编码)

14.组合逻辑电路、时序逻辑电路基本概念;(特点、分类、电路举例)

1.组合逻辑电路:任何时刻输出信号的稳态值,仅决定于该时刻各个输入信号的取值组合的电路(输出信号的次态与现态无关)。

2.组合逻辑电路的特点是:任何时刻输出信号仅仅取决于当时的输入信号得取值组合,而与电路原来所处的状态无关。

3.时序逻辑电路的特点是:任何一个时刻的输出状态不仅取决于当时的输入信号,而且还取决于电路原来的状态(现态)。

4.时序逻辑电路有同步(各触发器采用同一时钟脉冲触发)和异步(各触发器不是采用同一时钟脉冲触发)之分。

5.数字逻辑电路(各种门电路、触发器、编码器、译码器、计数器、寄存器、RAM存储器、ROM存储器)中,

组合逻辑电路:门电路、编码器、译码器、ROM存储器

时序逻辑电路:触发器、计数器、寄存器、RAM存储器

15.简单组合逻辑电路分析、设计;

1.例9.4。

2.如图所示为一常用组合逻辑电路,试分析其工作原理,填写完成该组合逻辑电路的真值表。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数字电子技术复习题

《数字电子技术》综合复习资料 一、单项选择题 1.在下列各图中,同或逻辑Z 对应的逻辑图是 。 A. ≥1 ≥1 Z D. =1 Z 2. 逻辑表达式A (B+C )=AB+AC 的对偶式是 。 A. ))((C A B A C B A ++=+ B. A+BC=(A+B ) (A+C ) C. AB+AC=A (B+C ) D. ))((C A B A C B A ++=+ 3.如果要采用奇校验方式传送一个七位二进制代码0011010,则其校验位为 。 A . 0 B .1 C .00110101 D .其它 4. 三态门有一使能控制端,当使能端为无效电平时,正确的是 。 A. 输出端为高阻态 B . 输出端为高电平 C. 输出端为低电平 D. 输出与输入间有正常的逻辑关系 5.用四选一数据选择器实现函数Y =0101A A A A +,应使 。 A.D 0=D 2=0,D 1=D 3=1 B.D 0=D 2=1,D 1=D 3=0 C.D 0=D 1=0,D 2=D 3=1 D.D 0=D 1=1,D 2=D 3=0 6.有一个与非门构成的基本RS触发器,欲使其输出状态保持原态不变,其输入信号应为 。 A. S=R=0 B. S=0 R=1 C. S=1 R=0 D. S=R=1 7.若用J K 触发器来实现状态方程为AB Q A Q n 1 n +=+,则J 、K 端的驱动方程为 。 A.J =A B ,K =B A + B.J =A B ,K = B A C.J = B A +, K =A B D.J = B A ,K =A B 8.一个8421B C D 码十进制计数器,设其初态Q 3Q 2Q 1Q 0=0011,输入的时钟脉冲频率 f =1k H z 。试问在100m s 时间后,计数器的状态为 。 A .0010; B .0011; C .0111 D.0110 9.欲将容量为1K ×4的R A M 扩展为4K ×4,则需要控制各片选端的辅助译码器的输出端数 为 。 A.1 B.2 C.4 D.8 10.一个8位A/D 转换器,若所转换的最大模拟电压为5V ,当输入2V 电压时,其输出的数字量为 。 A .00111001 B .01100110 C .10011001 D .01010010 11.一个7位二进制加法计数器,如果输入脉冲频率 f=256kHz ,试求此计数器最高位触发器输出脉冲频率为____________。 A .32kHz ; B .2kHz ; C .128 kHz D .256kHz 12.用n 个触发器构成计数器,可得到的最大计数长度(模值)为____________。 A. n B. 2n C. 2n D. n 2 13.由555u u o 4V

最新数字电子技术基础总复习要点

数字电子技术基础总复习要点 一、填空题 第一章 1、变化规律在时间上和数量上都是离散是信号称为数字信号。 2、变化规律在时间或数值上是连续的信号称为模拟信号。 3、不同数制间的转换。 4、反码、补码的运算。 5、8421码中每一位的权是固定不变的,它属于恒权代码。 6、格雷码的最大优点就在于它相邻两个代码之间只有一位发生变化。 第二章 1、逻辑代数的基本运算有与、或、非三种。 2、只有决定事物结果的全部条件同时具备时,结果才发生。这种因果关系称为逻辑与,或称逻辑相乘。 3、在决定事物结果的诸条件中只要有任何一个满足,结果就会发生。这种因果关系称为逻辑或,也称逻辑相加。 4、只要条件具备了,结果便不会发生;而条件不具备时,结果一定发生。这种因果关系称为逻辑非,也称逻辑求反。 5、逻辑代数的基本运算有重叠律、互补律、结合律、分配律、反演律、还原律等。举例说明。 6、对偶表达式的书写。 7、逻辑该函数的表示方法有:真值表、逻辑函数式、逻辑图、波形图、卡诺图、硬件描述语言等。 8、在n变量逻辑函数中,若m为包含n个因子的乘积项,而且这n个变量均以原变量或反变量的形式在m中出现一次,则称m为该组变量的最小项。 9、 n变量的最小项应有2n个。 10、最小项的重要性质有:①在输入变量的任何取值下必有一个最小项,而且仅有一个最小项的值为1;②全体最小项之和为1;③任意两个最小项的乘积为0;④具有相邻性的两个最小项之和可以合并成一项并消去一对因子。 11、若两个最小项只有一个因子不同,则称这两个最小项具有相邻性。 12、逻辑函数形式之间的变换。(与或式—与非式—或非式--与或非式等) 13、化简逻辑函数常用的方法有:公式化简法、卡诺图化简法、Q-M法等。 14、公式化简法经常使用的方法有:并项法、吸收法、消项法、消因子法、配项法等。 15、卡诺图化简法的步骤有:①将函数化为最小项之和的形式;②画出表示该逻辑函数的卡诺图;③找出可以合并的最小项;④选取化简后的乘积项。 16、卡诺图法化简逻辑函数选取化简后的乘积项的选取原则是:①乘积项应包含函数式中所有的最小项;②所用的乘积项数目最少;③每个乘积项包含的因子最少。 第三章 1、用以实现基本逻辑运算和复合逻辑运算的单元电路称为门电路。 2、 CMOS电路在使用时应注意以下几点:①输入电路要采用静电防护;②输入电路要采取过流保护;③电路锁定效应的防护。 3、 COMS电路的静电防护应注意以下几点:①采用金属屏蔽层包装;②无静电

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数电设计性实验报告

福州大学电气工程与自动化10级 设计性实验报告 姓名__________ 学号_______ 班级_______ 指导老师______姜海燕________ 实验时间_____2012.6.1______ 实验题目____彩灯控制器的设计_ (这是一页是首页)

实验目的: 1.掌握电路板焊接技术; 2.学习调试系统电路,提高实验技能; 3.了解彩灯控制器的工作原理及其结构。 实验所用原件清单: 74LS194 2片、74LS161 1片、74LS112 1片、555定时器、 电容1μF 1个、电阻300?8个、电阻500? 1个、电阻5k? 1个、发光二级管8个、导线、电路板 原理(包括主要公式、电路图): 1、设计任务:节目的彩灯五彩缤纷,彩灯的控制电路种类繁多。用移位寄存器 为核心元件设计制作一个8路彩灯控制器。 2、设计要求: ①彩灯控制电路要求控制8个彩灯; ②要求彩灯组成以下两种花型,每种花型连续循环两次,两种花型轮流交替。 节拍脉冲编码Q A Q B Q C Q D Q E Q F Q G Q H 花型Ⅰ花型Ⅱ 1 00000000 00000000 2 00011000 10001000 3 00111100 11001100 4 01111110 11101110 5 11111111 11111111 6 11100111 01110111 7 11000011 00110011 8 10000001 00010001 9 00000000 00000000 3、设计要点 ①编码发生器:编码发生器要求根据花型按节拍送出8位状态编码信号,以 控制彩灯按规律亮灭。因为彩灯路数少,花型要求不多,该题宜选用移位 寄存器输出8路数字信号控制彩灯发光。编码发生器建议采用两片4位通 用移位寄存器74194来实现。74194具有异步清零和同步置数、左移、右 移、保持等多种功能,控制方便灵活。移位寄存器的8个输出信号送至LED 发光二极管,编码器中数据输入端和控制端的接法由花型决定; 控制电路:控制电路为编码器提供所需的节拍脉冲和驱动信号,控制整个系统工作。控制电路的功能有两个:一是按所需产生节拍脉冲;二是产生移位寄存器所需的各种驱动信号。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电路期末模拟考试试题及答案

数字电路期末模拟考试 试题及答案 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结___ _,集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______ 逻辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是__ _____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A . B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A ) D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

最新模电数电复习题(已整理)

第1章 常用半导体器件自测题 三、写出图Tl.3 所示各电路的输出电压值,设二极管导通电压U D =0.7V 。 图T1.3 解:U O1=1.3V , U O2=0V , U O3=-1.3V , U O4=2V , U O5=1.3V , U O6=-2V 。 四、已知稳压管的稳压值U Z =6V ,稳定电流的最小值I Zmin =5mA 。求图Tl.4 所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V 。 右图中稳压管没有击穿,故U O2=5V 。 五、电路如图T1.5所示,V CC =15V ,β=100,U BE =0.7V 。 试问: (1)R b =50k Ω时,U o=? (2)若T 临界饱和,则R b =? 解:(1)26BB BE B b V U I A R μ-= =, 2.6C B I I mA β==,

2O CC C c U V I R V =-=。 图T1.5 (2)∵ 2.86CC BE CS c V U I mA R -= =, /28.6BS CS I I A βμ== ∴45.5BB BE b BS V U R k I -= =Ω 习题 1.2电路如图P1.2 所示,已知10sin i u t ω=(V ),试画出i u 与o u 的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解:i u 与o u 的波形如解图Pl.2所示。 1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压U D =0.7V 。试画出i u 与 o u 的波形图,并标出幅值。 图P1.3 解图P1.3 解:波形如解图Pl.3所示。

《数字电子技术》复习提纲

复习提纲 一填空题 1 十进制数238转换成二进制数是不用做,搞清楚基本的十进制与二进制的互转; 2 两片中规模集成电路10进制计数器串联后,最大计数容量为 100 位。 3 时序逻辑电路按照其触发器是否有统一的时钟控制分为 5.在数字电路中,三极管通常作为开关元件,工作在饱和和截止区域。 6 JK触发器当J=1、K=1时,可实现翻转功能。 7 两片中规模集成电路10进制计数器串联后,最大计数容量为 100 位。 8.若要构成七进制异步计数器,最少用 3 个触发器,它有 1个无效状态。 9. 触发器是具有记忆功能的逻辑电路。每个触发器能存储 2 位二进制数码。 10 D/A转换器用来将输入的数字信号转换为模拟信号输出。二单选题 1. 在下列逻辑电路中,不是组合逻辑电路的有 D。 A、译码器 B、编码器 C、全加器 D、寄存器 2. 将TTL与非门作非门使用,则多余输入端应做 A 处理。 A、全部接高电平 B、部分接高电平 C、全部接地 D、部分接地,部分悬空 3. 下列几种TTL电路中,输出端可实现线与功能的电路是 D 。 A、或非门 B、与非门 C、异或门 D、OC门 4. N个触发器可以构成最大计数长度(进制数)为 D 的计数器。 A、N B、2N C、N2 D、2N 5. 下列函数中,是最小项表达式形式的是 C 。 A、Y=A+BC B、Y =ABC+ACD C、Y=ABC+A BC D、 Y=AB+C 6. 欲使D触发器按Q n+1=Q n工作,应使输入D= 错误。 A、0 B、1 C、Q D、Q 7. 如要从幅度不等的脉冲信号中选取幅度大于某一数值的脉冲信号时,应采用 A 。 A、施密特触发器 B、触发器 C、单稳态触发器 D、多谐振荡器 8 电路中有一位二进制数据需要被暂时保存起来,可选用的是:D。 A. 数据选择器; B. 译码器; C. 编码器; D. 寄存器。 9 多谐振荡器可产生 B 。 A、正弦波 B、矩形脉冲 C、三角波 D、锯齿波 10 构成计数器的主要电路是 C 。 A、与非门 B、或非门 C、边沿触发器 D、组合逻辑电路

数电往年考题

09年 5、电路如图1.2所示,TG 为CMOS 传输门,G 为TTL 与非门,则当C=0时 P= ;当C=1时P= 。 B & TG A C C Ω k 10P G 图1.2 6. 当TTL 门电路的输入端悬空时,应视为 (高电平,低电平,不定)。此时,如用万用表测量其输入端电压,读数约为 (0V ,1.4V ,3.6V )。 六、(4分)写出图6所示TTL 门电路构成的组合电路的输出表达式。 图6 七、(6分)写出图7所示电路的逻辑表达式,列出真值表,说明电路逻辑功能。 A B C 图7 八(8分)将图8(a)所示电路用其他器件实现,要求直接在图上画出连线。 (1) 改用3线/8线译码器74LS138和适当的门实现该逻辑电路,图8(b)为 74LS138符号图; (2) 改用8选一数据选择器实现,图8 (c )为8选一数据选择器逻辑符号。 P 图8(a )

图8(b ) 08年 4.函数式D C AB F ++=,写出其对偶式='F ()A B C D +。 5.由TTL 与非门组成的电路如图1-2所示。设与非门输出高电平U OH =3.6V ,低电平为U OL =0.3V ,电压表内阻为20k Ω/V 。当输入ABC =000,开关S 断开时,用万用表测出U 1= 1.4V ,U 2= 0.3V ;当输入ABC =101,开关S 闭合时,U 1= 0.3V ,U 2= 3.6V 。 A B C 图1-2 6.对CMOS 或非门电路,判断下面结论对错: (1)输入端悬空可能造成逻辑出错; (对) (2)输入端对地接大电阻(如510 k Ω)相当于接高电平1; (错) (3)输入端对地接小电阻(如510 Ω)相当于接低电平0; (对) 7.CMOS 电路如图1-3所示,TG 为CMOS 传输门,G 为TTL 与非门,则C=0, P= 0 ; G G G 1 2A

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数电复习题(含答案)分解

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BCD D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说法正确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和 输入模

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

《数字电子技术》复习大纲H

《数字电子技术》课程复习大纲 第一章与第二章数字逻辑概论与逻辑代数(约15 %) 1、正确理解二进制、十进制、十六进制、8421BCD码的概念, 并掌握其相互转换方法; 2、理解逻辑变量与逻辑函数的概念, 掌握与、或、非、与非、或非、异或、与或非等七种基本与常用逻辑运算及其相互转换方法,初步掌握逻辑问题的描述方法。 3、基本掌握逻辑代数的基本公式、3个特殊定理和4个常用公式; 掌握逻辑函数的五种表示方法(真值表、逻辑函数表达式、卡诺图、逻辑图与波形图)及相互转换。 4、熟练掌握逻辑函数的卡诺图化简方法和简单的代数化简法。注意,带无关项的化简方法。 第三章逻辑门电路(约8%) 1、了解二极管、三极管和MOS管的开关特性。 2、了解COMS和TTL反相、与非、或非逻辑门电路的工作原理以及反相器的电压传输特性。 3、理解COMS和TTL反相器(与非门)的输入和输出特性以及门电路传输延迟时间的概念。 4、掌握传输门、三态门、漏极和集电极开路门的逻辑符号与工作特点, 并了解它们的电路结构特点。 5、正确理解CMOS和TTL集成门电路电源电压、高电平、低电平、正负逻辑、U IL、U IH、U OL、U OH、I OL、I OH等概念。 6、了解CMOS和TTL集成门电路性能比较。 第四章组合逻辑电路(约25%) 1、掌握组合逻辑电路在电路结构和逻辑功能上的特点以及分析方法和基本设计方法。 2、掌握常用组合逻辑器件(编码器、译码器、数据选择器、全加器、只读存储器ROM)的特点、逻辑功能,正确理解这些逻辑器件上附加控制端(如使能端、选通输入端、片选端及禁止端等)的功能。 3、能根据器件的功能表正确合理地运用这些控制端,最大限度地发挥所用器件的潜力,设计出其他逻辑功能的组合电路。重点掌握运用译码器和选择器实现组合逻辑函数的方法。 4、了解ROM的组成特点及其工作原理。 第五章锁存器与触发器(约10%) 1、掌握RS触发器(锁存器)、JK触发器D触发器以及T触发器的工作原理和特性;掌握这4种触发器逻辑功能的几种描述方法:功能表、特性方程、状态转换图、工作波形图;熟悉不同逻辑功能触发器之间的转换方法。 2、理解触发器的不同的触发方式及其表示方法 3、理解现态Q n与次态Q n+1区别,以及触发器存储信号的基本原理。 第六章时序逻辑电路(约30 %) 1、理解时序逻辑电路在逻辑功能和电路结构上的特点以及描述时序电路逻辑功能的方法:逻辑表达式、状态表、状态图、时序图、波形图。 2、掌握同步时序电路的基本分析方法 3、了解同步时序电路基本设计方法。 3、理解同步、异步、计数、寄存、存储等基本概念以及计数器、寄存器的分类方法、工作

数电期末试卷及复习资料

《数字电路》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147 ),作为8421BCD 码时, 它相当于十进制数( 93 )。 2.三态门电路的输出有高电平、低电平和(高电阻 )3种状态。 3.TTL 与非门多余的输入端应接( 高电平或悬空 )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( 高)电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7 )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( 5 )V ,其输出高电平为( 3.6 )V ,输出低电平为(0.35 )V , CMOS 电路的电源电压为( 3-18 ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( 10111111) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有( 16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100 )位。 11. 下图所示电路中, Y 1=( );Y 2 =( ;Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( 5 )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( 低 )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( A )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为(D )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( B ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

《数字电子技术》经典复习资料

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= ( )2= ( )16= ( )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为 V,典型低电平为 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。

解:由逻辑图写出表达式为:C + = + Y+ =,则输出Y见上。 B A A C B 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零; 非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。

(完整版)数电模拟考试题

一、选择题、 1.逻辑函数中A.B.C三个变量中,最小应有个。 A.2 B.4 C.8 D.16 2.当逻辑函数有n个变量时,共有个变量取值组合? A.n B.2n C.n2 D.2n 3.一个8选一数据选择器的数据输入端由个。 A.1 B.2 C.3 D.8 4.对于JK触发器,若J=K,则可完成触发器的逻辑功能: A.RS B.D C.T D.T’ 5.一位8421BCD码计时器至少需要个触发器。 A.3 B.4 C.5 D.10 二判断题、 1.数字电路中用“1”和“0”表示两种状态,二者无大小之分() 2.若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 3.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 4.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。() 5.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次() 三、填空题 1.数/模转换器是将进制数字量转换成信号输出。 2.逻辑函数的常用表示方法、、。 3.对于共阳接法的发光二极管数码显示器,应采用驱动的七段显示译码器。4.制度存储器是用来存放固定不变的二进制数码,在正常工作时,只能存储代码,而不能存储代码,当时去电源后,其信息代码不会。 5.将模拟信号转换为数字信号,需要经过、、、四个过程。 四、用代数法化简函数 Z=AB+ABC 五、用卡诺图法化简下式。 {F(A、B、C、D)=∑m(0、1、3、5、8、9)} (约束条件)AB+AC=0 Y=AC+ABC+A BC

七、试用与非门设计一个三人表决电路(输入只提供原变量) 八、分析时序电路的逻辑功能,写出电路的曲弓方程和输出方程,画出状态转换图和时序图。 九、如下图所示维持阻塞D触发器,设初态为0,根据CP脉冲及A输入波形画出Q波形。 十、试分析下图所示电路画出它的状态图,说明它是几进制计数器

相关文档
最新文档