数字电路设计实例

数字电路综合设计案例

8.1 十字路口交通管理器

一、要求

设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。

二、技术指标

1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。

2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意,

执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。

3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。

三、设计原理和过程:

本课题采用自上而下的方法进行设计。

1.确定交通管理器逻辑功能

⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能:

①甲道通行,乙道禁止通行;

②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过;

③甲道禁止通行,乙道通行;

④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。

⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。

⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后,

阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。

设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。

由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

2.确定系统方案及逻辑划分

由确定的逻辑功能,进而来具体地讨论实施方案。

交通管理器与其他数字系统一样,划分成控制器和受控电

路两部分,控制器送出对受控部分的控制信号,它接受来自外

部的请求信号S1和S2 以及受控部分的反馈信号,决定自身

状态转换方向以及输出信号。

⑴设定S1=1时为有人要横穿甲道,又设定S2=1时为有

人要横穿乙道,若S1=0,

且S2=0,则表示没有穿越马路的特殊请求。S1和S2信号

均用纽子开关产生。

⑵控制器应送出甲、乙道红、黄、绿灯的控制信号。为

简便起见,我们把灯的代号和驱动灯的信号合而为一,因此有

如下规定:

R=1 甲道红灯亮

Y=1 甲道黄灯亮

G=1 甲道绿灯亮

r=1 乙道红灯亮

y=1 乙道黄灯亮

g=1 乙道绿灯亮

同时又作以下规定:

①甲道通行、乙道禁止的一段时间内,即G=1,r=1的时

间内(假设调定为60秒),用符号W=0表示,否则W=1。

②乙道通行、甲道禁止的一段时间内,即g=1,R=1的时

间内(假设也调定为60秒),用符号P=0表示,否则P=1。

③在黄灯亮的时间内(假设调定为10秒),用L=0表示,否则L=1。

在上述各种情况时,如果无特殊请求横穿马路,那么,甲、乙道交替通行60秒钟,转换时有10秒钟的停车或准备时间。

(3)当交通控制处于甲禁止乙通行的状态时,它只响应S1信号,因为若S2=1时,只需本状态结束,经过10秒钟就转入甲通乙不通状态,行人可以穿越乙道,这样做的目的是为了简化设计。在甲通乙不通的状态时,管理器能响应S1信号,控制器受到S1信号后,状态转换为甲禁止、乙通行状态;如果S1=0,而控制器收到S2=1信号,则维持甲道通行、乙道禁止状态,让行人通过乙道。

(4)为使交通管理器按照规定的通行和停车时间有效地工作,故设置秒脉冲信号发生器,它作为整个电路的时钟信号和定时电路的参考间。秒脉冲发生器的构成请参阅“数字钟”的有关内容。设计者亦可安装一个模拟性的简单的秒信号发生器。

(5)管理器设置60秒通行时间和10秒停车时间的定时电路。定时电路接受控制器送来

C1(甲道禁止乙道通行)和C2(甲道通行乙道禁止)信号,驱动60秒定时电路工作,它接受C3信号,驱动10秒定时电路运行,定时电路的参考时间就是秒脉冲。申明一点:定时电路的定时时间可由设计者调整。

定时电路的输出信号是W、P、L,其中W和P是60秒定时结束时馈送给控制器的信号,而L是10秒定时结束时定时电路送到控制器的反馈信号。控制器根据这些信号的状况,发生相应的状态变换。

(6)控制器的状态经译码器译出交通信号灯的控制信号,驱动甲、乙道相应灯点亮。

现在就可以画出交通管理器的结构组成图如图8-3所示。而它的控制器的详细逻辑流程图可用图8-4示出。控制器的输出已在流程图各工作块的外侧标明。

3.受控电路的硬件设计

由于受控电路的组成已经明确,现在的问题是如

何选择具体的器件来实现。在此作简明介绍。

⑴秒脉冲信号发生器

秒脉冲是交通管理器的时间基准,秒脉冲发生器可以参照数字闹钟课题内的标准时间源。由于本课题对秒信号稳定度、精度的要求并不高,因此建议用一般的环形震荡器组成,电路如图8-5所示。其中逻辑门选用74LS00四与非门。由于该电路输出信号的周期约为

T=2.2RC

在保证(R+Re)<700Ω(TTL门电路关门电阻)的前提下,选择恰当的R和C值组成。

⑵60秒和10秒定时电路

定时电路有多种形式,设计者可以任选。这里介绍一种用MSI 74LS161同步计数器构成定时电路的方法。

由于电路配置秒脉冲信号发生器,如果把秒信号作为计数器的CP输入,那么计数器连接成60进制时就可作为60秒定时电路。由此推广,模N计数器就是N秒定时电路,这对于灵活调整道路通行时间是相当方便的。

以下讨论用74LS161构成N进制计数器的方法。

74LS161具有同步预置控制端LD,因此可以采用反馈预置法实现N进制计数器。实现的方法为:首先使L D=0,数据输入端A=B=C=D=0,CP来到将计数器置0(即Q D Q C Q B Q A=0000),并以此作为初态;然后使L D=1,器件在CP作用下开始计数,当计数到(N-1)时,经与非门反馈给预置控制端L D,又使L D=0,再次

预置数据0,从而完成一个0到(N-1)的循环,实现了N进制计数器的功能。图8-6(a)示出了根据上述原理构成的模14计数器的外部连接图。

一片74LS161的最大计数模数为16,大于模16时必须用若干片连接。但是在连接成同步计数链时,应注意用计数器控制端P、T传递溢出进位信号,使各片计数器快速、正确地工作。图8-6 (b) 示出了用两片74LS161组成M=60计数器的连接图,因为N=(60)10=(111100)2,故反馈预置端,其中Q A1、Q B1和Q D1是低位片的三个触发器的输出,Q A2和Q B2是高位片的两个低位的输出。低位片的T·P固定接1,满足计数条件。而高位片要计数,只有等待低位片输出为全1时,因此用低位片的溢出进位输出Q C控制高位片的T·P端,当Q C=1时,高位片在输入下一个计数脉冲时接受进位,加1计数,否则为保持状态。

再则,74LS161也有异步清零功能,故可使用清零控制端Cr,采用反馈复位法使它成为任意进制计数器。图8-7(a) 是用用反馈复位法构成的模10计数器,因为(10)10=(1010)2,由于Cr是异步清零端,一旦Q B=1与Q D=1时,立即使计数器复0(0000),故(1010)2这个状态不能持续,计数器状态由0000、0001···1001、0000,实现十进制计数。图8-7 (b)是反馈复位法连接成的60进制计数器,工作原理请读者自行分析。

本课题允许任选反馈预置法或反馈复位法构成60秒和10秒定时电路。这里选择反馈预置法组成,如图8-8所示。

我们要注意几点:①选通信号C1、C2和C3来自控制器,它们反映在何时打开哪一个定时电路的CP控制门。②如果确定两通道通行时间均为60秒,则可用同一定时电路实现。但考虑到两道通行时间的灵活调整,即每道通行时间可在30秒~2分钟之内变动,甚至甲道和乙道通行时间不相同等等,故可分别用n1和n2秒定时电路来产生P和W应答信号,以供控制器判别、决策,如图8-9所示。③黄灯亮的定时电路是公用的,设定时时间为n3秒,其输出信号L同样送至控制器。

⑶、交通管理灯选用红、黄、绿不同颜色的发光二极管组成,它们分别受控制器输出信号R、Y、G、r、y、g所驱动。

至此,我们可画出交通管理器受控部分硬件实施简图如图8-9所示。

4.控制器设计

⑴导出管理器的MDS图

从图8-4所示的交通管理器详细逻辑流程图出发,画出相应的MDS图如图8-10所示。在图中状态A为甲道禁止乙道通行状态(甲R乙g),状态B为甲道禁止乙道停车状态(甲R乙y),状态C为甲道通行乙道禁止状态(甲G乙r),状态D为甲道停车乙道禁止状态(甲Y乙r)。

⑵状态分配

本课题采用D触发器作为控制器记忆元件,四个状态用两个D触发器,状态分配如下:状态A—00、状态B—01、状态C—11、状态D—10,状态分配图如图8-11所示。

⑶、填写激励图

根据状态分配的情况,填写两个D触发器激励函数降维卡诺图如图8-12所示。其中状态变量Q2为高位,Q1为低位。

由激励函数卡诺图求得激励函数为:

经化简可得

⑷求输出函数方程

乙道通行、甲道禁止时(P=0)的定时电路选通信号

甲道通行、乙道禁止时(W=0)的定时电路选通信号

停车时间(L=0)定时电路的选通信号

控制器驱动甲道红、黄、绿灯的信号

控制器驱动乙道红、黄、绿灯的信号

⑸控制器逻辑电路图

设计工作至此,所有方程已经求出,设计者可以选择各种SSI、MSI、LSI器件来实现。

四、讨论

1.试用MSI组合器件数据选择器和译码器实现交通管理器控制器,画出相应的控制器逻辑电路图。

2.试用集成单稳电路SN74121或SN74123组成交通管理器道路通行、禁止、停车定时电路。在此情况下,受控部分硬件实施图和控制器逻辑电路图。

3.假设甲、乙道交叉路口的交通管理按以下规则进行:

⑴甲道通行时间为2分钟;

⑵甲道停车时间为20秒钟;

⑶乙道通行时间为1分钟;

⑷乙道停车时间为10秒钟;

⑸老人、孩子和残疾人请求过马路时,管理器立即响应,10秒钟后允许行人穿越;

⑹交通管理人员有权随时终止甲、乙道交替通行的状况,而使某道连续通行,以解决某道交通堵塞现象或者应付临时需要,如警车、消防车、救护车等特殊车辆的紧急或较长时间的通行。

试设计并实现上述要求的十字路口交通管理器。

4.能否设计一个三、五条道路、以至六条交叉路口的交通管理器。

5.试选用MSI时序器件74LS161、74LS194等,设计交通管理器的控制器。

6.交通管理器控制器工作过程的ASM图,并与MDS图设计方法相比较。

8.2 多路可编程控制器设计与制作

一、问题的引入:

在实际应用中,常常需要一种能同时控制多组开关按一定的方式闭合与断开的装置,比如显示图样不断变化的各种霓虹灯或彩灯的电源控制系统。本节设计与制作的多路可编程控制器就具有这种功能。

二、设计目的:

通过这一课程设计,读者可以在如下方面得到锻炼。

( 1 )基本了解设计数字系统的一般方法。

( 2 )进一步熟悉常用数字器件的使用方法。

( 3 )基本掌握通过逻辑分析查找数字电路故障的方法。

( 4 )熟悉并学会使用用于读写 EPROM 的常用软件,掌握固化与擦除 EPROM 的方法。

三、设计要求:

设计并制作出一种用于控制霓虹灯的控制器,它具有如下功能:

( 1 )可以控制每段霓虹灯的点亮或熄灭。

( 2 )每段霓虹灯的点亮与熄灭可以通过 68 编程来实现。

( 3 )每间隔一段时间,霓虹灯的图样变化一次。

( 4 )图样变化的间隔时间可以调节。

四、所需仪器设备与器件

示波器,稳压电源, EPROM 读写软、硬件, EPROM 擦除器。

五、设计内容、方法与步骤:

1.设计内容

1)霓虹灯受控显示的基本原理

我们以背景霓虹灯的一种显示效果为例,介绍控制霓虹灯显示的基本原理。设有一排 n 段水平排列的霓虹灯,某种显示方式为从左到右每间隔 0.2 秒逐个点亮。其控制过程如下:若以“ 1 ”代表霓虹灯点亮,以“ 0 ”代表霓虹灯熄灭,则开始时刻, n 段霓虹灯的控制信号均为“ 0 ”,随后,控制器将一帧 n 个数据送至 n 段霓虹灯的控制端,其中,最左边的一段霓虹灯对应的控制数据为“ 1 ”,其余的数据均为零,即 1000 … 000 。当 n 个数据送完以后,控制器停止送数,保留这种状态(定时) 0.2 秒,此时,第 1 段霓虹灯被点亮,其余霓虹灯熄灭。随后,控制器又在极短的时间内将数据 1100 … 000 送至霓虹灯的控制端,并定时 0.2 秒,这段时间,前两段霓虹灯被点亮。由于送数过程很快,我们观测到的效果是第一段霓虹灯被点亮 0.2 秒后,第 2 段霓虹灯接着被点亮,即每隔 0.2 秒显示一

帧图样。如此下去,最后控制器将数据 1111 … 111 送至 n 段霓虹灯的控制端,则 n 段霓虹灯被全部点亮。

只要改变送至每段霓虹灯的数据,即可改变霓虹灯的显示方式,显然,我们可以通过合理地组合数据(编程)来得到霓虹灯的不同显示方式。

2)系统框图

根据设计要求,确定如图 8.1 所示系统框图。

8-1 系统方框图

框图中,右边的 D 0 -D n 为 n 个发光二极管,它们与 n 段霓虹灯相对应,二极管亮,则霓虹灯亮。下面介绍框图中各部分的功能与实现方法。

( 1 )移位寄存器

移位寄存器用于寄存控制发光二极管亮、灭的数据,对应 n 个发光二极管,移位寄存器有 n 位输出。

移位寄存器的输入信号取自存储器输出的 8 位并行数据,为使电路简单,可以采用 8 位并入并出的移位寄存器,也可以采用并入串出的移位寄存器。

( 2 )只读存储器

只读存储器内部通过编程已写入控制霓虹灯显示方式的数据,控制器每间隔一段时间(显示定时)将 n 位数据送移位寄存器,所送的数据内容由存储器的地址信号确定。

存储器的容量由霓虹灯的段数、显示方式及显示方式的种类确定。 n 段霓虹灯, m 种显示方式,要求存储器的容量为

n=n × n × m ( bit )

只读存储器可以采用常用的 EPROM, 如 2764 、 27128 、 27256 、 27512 等。

( 3) 地址计数器

地址计数器产生由低到高连续变化的只读存储器的地址,存储器内对应地址的数据被送至寄存器。地址计数器输出的位数由存储器的大小决定。 64Kbyt 容量的存储器对应的地址线为 16 根,因此要求 16 位计数器。其余可依次类推。地址计数器给出存储器的全部地址以后自动复位,重新从 0000H 开始计数。

地址计数器可以采用一般的二进制计数器,如 74161 、 162 等。

( 4 )控制门与定时器

控制门用于控制计数脉冲是否到达地址计数器。控制门的控制信号来自定时器,定时器启动时,控制门被关闭,地址计数器停止计数,寄存器的数据被锁存。此段时间发光二极管发光。达到定时值时,定时器反相,计数器重新开始计数。

控制门可以用一般的与门或或门,定时器可以采用单稳态电路来实现,也可以用计数器实现。

( 5 )长度计数器

长度计数器与地址计数器对应同一个计数脉冲。长度计数器工作时,地址计数器也在工作。计数器工作期间,存储器对应地址的数据被逐级移位至对应的寄存器。长度计数器的计数长度为 n/8, 该长度恰好保证一帧图样( n 位)的数据从存储器中读出送寄存器锁存。长度计数器达到长度值时自动清零,同时启动定时器工作。定时器启动期间,长度计数器与地址计数器的计数脉冲均被封闭。

长度计数器电路可视计数的具体长度来确定。当计数长度较短时,可以采用移位寄存器来实现。

3 )实用电路

根据上面的分析,设计出如图 8.2 所示的实用电路。

实用电路可以控制 32 段霓虹灯,用 32 个发光二极管代替霓虹灯。实际电路中,霓虹灯是由开关变压器提供的电源点亮的,开关变压器通过光耦进行强、弱电隔离,从寄存器输出的点亮发光二极管的驱动信号完全可以驱动开关变压器工作。

电路中的移位寄存器采用 74LS374, 当与 11 脚相连的移位脉冲产生上升沿突变时, 8 位数据从上至下从一个寄存器移位至另一个寄存器,构成 8 位并行移位电路。显然,出现在 11 脚的移位脉冲,一次只能有 4 个。

图 8.2 霓虹灯显示控制器实用电路

电路中的存储器采用具有 8K 地址的 EPROM 2764, 电路中 2764 的最后两根地址线 A11 、A12 接地。因此,实际只用到了前面 2K 地址的存储单元。由于只控制 32 段霓虹灯,它仍可以保证有足够多的显示方式。如有必要,可以通过接插的方式改变 A11 、 A12 的电平,选择其他 6K 地址对应的图样。

电路中的地址计数器由 3 块 74LS161 组成,它产生 11 位地址数据,计数输出直接与存储器的地址线相连。

定时器采用 555 组成的单稳态触发器来实现,改变可变电阻 VR 的数值。可以改变定时器的时间,即每帧画面显示的时间。显示时间一般定在 0.1 ~ 1S 之间。

振荡电路采用 555 组成多谐振荡器来实现,其振荡频率可以在 1KHz ~ 1MHz 之间取值。

2 .方法与步骤

1 )器件检测

与每次实训一样,首先对所用器件进行检测。保证器件完好,可以减少因器件不良带来的各种麻烦。

2 )电路安装

在印刷电路板上安装好全部器件。所需电路板可以在电子 CAD 课程作为课程设计内容完成,也可委托电路板厂加工。如无现成的印刷电路板,也可在万能板上安装。由于电路连线较多,不宜在面包板上安装。

3 )检测电路

( 1 )检测由 555 组成的时钟振荡器的输出波形,正常情况应能在 U5 的第 3 脚观测到频率为几十 KHz 的矩形波。如不能观测到输出波形,则应检测 555 的工作状态,找到故障所在。

( 2 )将定时器电位器 VR 调至最小值,用示波器观测计数脉冲的波形,如电路正常,可以得到波形。如没有波形或波形为连续矩形波,则检测定时器 555 输出端第三脚的电平。正常时可以观测到输出电平以短于 1S 的时间周期跳变,如果不出现跳变,则定时器没有工作,应检测定时器与长度计数器的工作状态。通过检测各引脚电平或波形,根据电路的逻辑关系进行分析,排除故障。

( 3 )检测存储器各地址线的电平,在低地址端应能观测到电平的跳变。如地址线电平不发生变化,则应检测由 4 个 74LS161 构成的地址计数器工作是否正常,通过检测各 IC 的引脚或波形,排除故障。

( 4 )检测寄存器 74LS374 各引脚电平,各电平值应与电路确定的值一致,出现异常则应找出故障所在,予以排除。

3 )排列发光二极管

将 32 个发光二极管按你喜欢的方式排列成一定的图形或字符。

4 )确定显示方式

根据排列的图形,确定发光二极管的显示方式。

5 )确定存储器各地址对应的数据

显示方式确定之后,则可确定存储器各地址对应的数据。为加深读者的认识,设发光二极管水平排列,显示方式为从左至右一个一个点亮。这种情况下,各地址对应的数据如表 8.1 所示。表中,每行第一个 16 进制数为存储器的一个起始地址,其余 16 个数为该地址及与该地址相连的其他 15 个地址的数据,也用 16 进制数表示。

表 8.1 一种显示方式各地址对应的数据

0000H 00H 00H 00H 01H 00H 00H 00H 03H 00H 00H 00H 07H 00H 00H 00H 0FH

0010H 00H 00H 00H 1FH 00H 00H 00H 3FH 00H 00H 00H 7FH 00H 00H 00H FFH

0020H 00H 00H 01H FFH 00H 00H 03H FFH 00H 00H 07H FFH 00H 00H 0FH FFH

0030H 00H 00H 1FH FFH 00H 00H 3FH FFH 00H 00H 7FH FFH 00H 00H FFH FFH

0040H 00H 01H FFH FFH 00H 03H FFH FFH 00H 07H FFH FFH 00H 0FH FFh FFH

0050H OOH 1FH FFH FFH 00H 3FH FFH FFH 00H 7FH FFH FFH 00H FFH FFH FFH

0060H 01H FFh FFH FFH 03H FFH FFH FFH 07H FFH FFH FFH 0FH FFH FFH FFH

0070H 1FH FFH FFH FFH FFH 3FH FFH FFH 7FH FFH FFH FFH FFH FFH FFH FFH

读者读懂表 8.1 的内容以后,就可以自己编辑显示方式了。

6 )输入数据

读者可以利用任何读写 EOROM 的软件及相关附件将编辑好的内容固化在 EPROM 中。固化时,必须注意选择编程电压时,应与实际存储器的编程电压一致。

7 )显示图样

将 EPROM 插入 IC 插座,接通电源,即可看到发光二极管依一定的规律在点亮与熄灭。观看显示方式是否与自己设计的方式一致,如不一致,找出原因。如属数据编辑错误,可改写前面的数据。 EPROM 具有光擦除功能,要修改内部数据,必须用紫外线擦除器擦除后重写全部内容。

六、课程设计验收

•硬件制作实物完成情况,演示设计与调试的结果

•设计方案与说明书

8.3 数字频率计的设计与制作

一、问题的引入:

在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。

二、设计目的:

本设计与制作项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。

三、设计要求:

设计并制作出一种数字频率计,其技术指标如下:

( 1 )频率测量范围: 10 ~ 9999Hz 。

( 2 )输入电压幅度 >300mV 。

( 3 )输入信号波形:任意周期信号。

( 4 )显示位数: 4 位。

( 5 )电源: 220V 、 50Hz

四、所需仪器设备与器件

示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。

五、设计内容、方法与步骤:

1 .设计内容

1 )数字频率计的基本原理

数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。

2 )系统框图

从数字频率计的基本原理出发,根据设计要求,得到如图 8.3 所示的电路框图。

下面介绍框图中各部分的功能及实现方法

( 1 )电源与整流稳压电路

框图中的电源采用 50Hz 的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。

( 2 )全波整流与波形整形电路

本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过 0.5Hz ,即在 1 %的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对 50Hz 交流市电进行全波整流,得到如图 8.4 ( a )所示 100Hz

图 8.3 数字频率计框图

的全波整流波形。波形整形电路对 100Hz 信号进行整形,使之成为如图 8.4(b) 所示 100Hz 的矩形波。

图 8.4 全波整流与波形整形电路的输出波形

波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

( 3 )分频器

分频器的作用是为了获得 1S 的标准时间。电路首先对图 8.4 所示的 100Hz 信号进行100 分频得到如图 8.5 ( a )所示周期为 1S 的脉冲信号。然后再进行二分频得到如图 8.5 ( b )所示占空比为 50 %脉冲宽度为 1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在 1S 时间内通过控制门的被测脉冲的数目。

分频器可以采用第 5 章介绍过的方法,由计数器通过计数获得。二分频可以采用触发器来实现。

( 4 )信号放大、波形整形电路

为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,

图 8.5 分频器的输出波形

使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路,波形整形可以采用施密特触发器。

( 5 )控制门

控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,一个输入端接被测脉冲。控制门可以用与门或或门来实现。当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时进行计数。

( 6 )计数器

计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为 9999Hz ,应采用 4 位十进制计数器。可以选用现成的 10 进制集成计数器。

( 7 )锁存器

在确定的时间( 1S )内计数器的计数结果(被测信号频率)必须经锁定后才能获得稳定的显示值。锁存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的 8 位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。

( 8 )显示译码器与数码管

显示译码器的作用是把用 BCD 码表示的 10 进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。

选用显示译码器时其输出方式必须与数码管匹配。

3 )实际电路

根据系统框图,设计出的电路如图 8.6 所示。

图中,稳压电源采用 7805 来实现,电路简单可靠,电源的稳定度与波纹系数均能达到要求 .

对 100Hz 全波整流输出信号的分频采用 7 位二进制计数器 74HC4024 组成 100 进制计数器来实现。计数脉冲下降沿有效。在 74HC4024 的 Q7 、 Q6 、 Q3 端通过与门加入反馈清零信号,当计数器输出为二进制数 1100100 (十进制数为 100 )时,计数器异步清零。

图 8.6 数字频率计电路图

经典模拟、数字电路设计

实验一 单级阻容耦合放大器设计 一、设计任务书 1.已知条件 电源电压V cc =+12V,信号源U s =10mV,内阻R s =600Ω,负载R L =2k Ω。 2.主要技术指标 输入电阻R i >2k Ω,频率响应20Hz ~500kHz,输出电压U o ≥0.3V,输出电阻R O <5k Ω,电路工作稳定。 3.实验用仪器 双踪示波器一台,信号发生器一台,直流稳压电源一台,万用表一台。 二、电路设计 1.电路形式讨论 由于电压增益A V =U O /U S =30,采用一级放大电路即可,要求电路工作稳定,采用分压式电流负反馈偏置电路,输入电阻比较大和频率响应比较宽,引入一定的串联负反馈,电路如图。 2.具体电路设计 (1)静态工作点选择 I CQ =2mA,V BQ =3V (选择硅管) (2)晶体管的选择 78) (2 =+=L s i V R R R A β取100, U CEO >V CC =12V,I CM >2I CQ =4mA, P CM >I CQ V CC =24mW, f T >1.5βf H =75MHz 选择9014:U CEO >20V,I CM >100mA, P CM >300mW,f T >80MHz,Cb'c<2.5pF (3)元件参数的计算 R E =(V BQ -0.7)/I CQ ≈1.2k Ω I BQ =I CQ /β=20μA 则 Ω== k I V R BQ BQ B 15102,R B2=15k Ω Ω=-= k I V V R BQ BQ CC B 45101,取标称值47k Ω Ω≈++=k mA I mV r EQ be 6.1) (26) 1(300β, 取R F =10Ω.则Ω=++=k R r R F be i 16.2)1('β Ω==k R R R R i B B i 12.2////'21,取A V =40,

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

多功能数字钟电路设计

多功能数字钟电路设计 1设计内容简介 数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。 2设计任务与要求 Ⅰ以十进制数字形式显示时、分、秒的时间。 Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。 Ⅲ能实现手动快速校时、校分; Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。 Ⅴ具有定制控制(定小时)的闹钟功能。 Ⅵ画出完整的电路原理图 3主要集成电路器件 计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等 4设计方案 数字电子钟的原理方框图如图(1)所示。该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。校时电路是用“时”、“分”、“秒”显示数

数字电路课程设计

多功能数字电子计时器 设计要求: 一基本功能 1 准确计时,以数字形式显示时、分、秒的时间; 2 秒和分的计时要求为60进制,小时的计时要求为12进制; 3 当电源接通或计时出现误差时,可以进行时间校正。为简单起见,本课题要求只设校分开关和校时开关(校时脉冲由自行设计的单次脉冲产生器或10Hz脉冲产生器提供)。 二扩展功能 1 定时控制:在规定的时间到达时,发出闹时信号(声响); 2 报整点时数:每当计时到整点时发出声响,且几点响几声。 数字日历 设计要求:设计一个数字日历,计时器采用24进制计时方式。 一基本功能 1 用数字显示月、日和星期数。每输入一个计日脉冲就使日数和星期数自动加1; 2 星期一至六应显示1~6,星期日要求显示“”字; 3 当月数显示为12时,若月的个位计数器再加1,则月数应自动显示为01。 4 日计数器每逢1、3、5、7、8、10、12月应为31进制,4、6、9、11月应为30进制,2月份为28进制。 二扩展功能 1 增设闰年控制电路:每4年2月份出现29日的显示; 2 增设月、日校正电路。 24 秒倒计时电路 设计要求:设计一个24秒计时器显示报警系统。电路启动后开始倒计时,计时间隔为1秒,倒计时为0秒时,电路报警,报警声持续3秒,显示器不能灭灯。设置外部操作开关,控制计数器的的直接清零,启动和暂停/连续功能,且直接清零时,显示器灭灯。 数字跑表 设计要求:设计一个体育比赛中常用的数字跑表,它通过两个按钮来控制计时开始和停止,一个是清零按Reset(简称R)键,另一个是Start/Stop控制按键(简称S)。开始时R键使跑表为零初始状态,在R键无效的时候,按下S键,则计时器开始计时,在此计时状态下,按一下S键暂停计时,再按一下S键则继续计时,并且这一过程可由S键控制重复进行。如果在暂停状态下按一下R键,跑表被清零。要求: 1 计时范围:59ms~59.99s; 2 计时精度:10ms; 3 输入信号频率为100Hz; 4 按计时电路、显示电路和计时控制电路三大模块设计。 射击自动记分器 设计要求: 1 电路共设18个模拟开关,K1~K18,分别代表射击者射中的目标,射中K1~K9分别得1~9分,射中K10~K18分别得10~90分;

数电实验-数字显示电路设计

9.29数字显示电路设计 1.显示原理 8段数码显示管如图9-158所示,8段数码管每一段为一只发光二极管,共有a~g以及小数点dp8只发光二极管。将8段数码管中的每一只二极管的阴极并联在一起,组成公共阴极端。这样把共阴极管脚接地,此时哪个管脚输入高电平,对应发光二极管就被点亮。 图9-158 8段数码显示管图9-159 CL561AS数码管管脚图 CL561AS数码管管脚图如图9-159所示,它将4只数码显示管的a~g及小数点dp管脚并联在一起,分别引出各个数码管的阴极A1~A4。 只要在A1~A4管脚上轮流加低电平其频率大于40Hz,可实现4只数码管同时被点亮的视觉效果。在点亮不同数码管的同时输入不通的数据,即可在数码管上同时显示4位不同的数字。例如:4只数码管要显示9876数字。第一只数码管A1加低电平,其余A2、A3、A4高电平,同时数码管输入和9对应的数据;然后第二只数码管A2加低电平,其余A!、A3、A4高电平,同时数码管输入和8对应的数据;然后第三只数码管A3加低电平,其余A1、A2、A4高电平,同时数码管输入和7对应的数据;然后第四只数码管A4加低电平,其余A1、A2、A3高电平,同时数码管输入和6相对应的数据;周而复始重复上述过程4只数码管就显示了9876数字。 2.设计任务 用CPLD设计一个驱动8位数码管显示电路。8位数码管管脚图如图9-160所示。 图9-160 8位数码管管脚图 用两个CLAS数码管接成一个8位数码管显示,将两个CL5461AS数码管的a~g及小

数dp管脚联在一起,两个CL5461AS数码管的阴极A1~A4定义为Vss0、Vss1、Vss2、Vss3、Vss4、Vss5、Vss6、Vss7。 用CPLD设计一个驱动8位数码管显示电路的框图,如图9-161所示。 图9-161 驱动8位数码管显示电路的框图 时钟脉冲计数器的输出同时作为3线-8线译码器、八选一数据选择器,地址码的输入时钟脉冲计数器的输出经过3线-8线译码器译码,其输出信号接到8位数码管的阴极Vss0、Vss1、Vss2、Vss3、Vss4、Vss5、Vss6、Vss7端。通过八选一数据选择器的地址码来选择A~H中哪一个数据信息被显示,选择出的数据信息经七段译码器译码后接数码管的a~g管脚。这样8只数码管就可以轮流显示8个数字,如果时钟脉冲频率合适,可实现8个数码管同时被点亮的视觉效果。 3.模块及模块功能能 时钟脉冲计数器模块CN8如图9-162所示。CN8模块输入信号是时钟脉冲clk,每遇到一个时钟脉冲clk上升沿时,内部累加器便加一,再把累加器所得结果与2进制数的形式输出。要显示八位数字,所以用3位2进制数作为输出。输出信号为cout[0..2]. Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity cn_829 is Port(clk:in std_logic; Cout:out std_logic_vector(2 downto 0)); End cn_829; Architecture rtl of cn-829 is Signal q:std_logic_vector(2 downto 0); 图9-162 时钟脉冲记数器模块CN-829 Begin Process(clk) Begin If (clk'event and clk='1')then If q=70 then Q<="000"; Else Q<=q+1; End if; End if; End process; Cout<=q; End rtl; 3线-8线译码器模块DECODER3_8_829如图9-163所示。模块的输入端是A[2..0]接收时钟脉冲计数器CN8模块的输出信号,经过译码后输出信号q[7..0]分别接8只数码管的阴极Vss7、Vss6、Vss5、Vss4、Vss3、Vss2、Vss1、Vss0,使对应的数码管的阴极为低电平,对应数码管被点亮。要显示8位数字,需要8个输出端,所以作成3线-8线译码器。

数字电路课程设计之加减法运算电路设计(1)

设计资料1 加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 置数 开关选择运算方式 加法运算电路 减法运算电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端

数字电子课程设计-《电子锁及门铃电路设计与Multisim电路仿真和ALtium-Designer绘制电路原理图》

1设计目的 利用数字电路的理论和知识进行设计,设计一个电子锁,密码为8位二进制代码,当开锁输入码与密码一致时,锁被打开,当开锁输入码与密码不一致时,则报警。 1.1设计内容及要求 1.1.1设计指标 ·设计一个电子锁,其密码为8位二进制代码,开锁指令为串行输入码。 ·开锁输入码与密码一致时,锁被打开。 ·当开锁输入码与密码不一致时,则报警。报警时间持续15秒,停3秒后再出现。 ·报警器可以兼作门铃使用,门铃时间为10秒。 ·设置一个系统复位开关,所有的时间数据用数码管显示出来。 1.1.2原理框图 图1 原理框图

2系统具体设计及参数计算 2.1设计思路 1、数据比较模块。数据比较模块是电子锁的核心部分。由于是八位数据比较,所以采用两片7485(四位数字比较器)级联方式。用高4位的芯片的输出端(YA=YB,YAYB)控制门铃和报警电路。 2、原始密码输入模块。由八个波段开关构成,表示每一位的数据,分别接到高位7485和低位7485上。另一端接5V电源,当按键接通时表示“1”,当案件未接通时,表示“0”。 3、串行密码输入模块。采用两片74194(四位双向通用移位寄存器)级联成八位数据输入模块,分别接到数据比较模块的高四位和低四位。具体输入电路见下文分析。 4、时钟模块。计时模块用来产生标准的秒脉冲给电路提供时序。可采用555定时器构成多谐振荡器,也可以使用8051单片机定时器产生标准方波。在电路仿真时采用软件自带的电压信号产生器。 5、计时模块。采用两片74290(二\五分频十进制计数器)级联方式构成十进制、可显示0-99计时模块。芯片输出BCD码,由7448(BCD-7段译码器\内部上拉输出驱动)驱动两个数码管(共阴极)。 6、显示模块。时间显示采用两个7段共阴极数码管。 7、门铃模块。采用单稳态触发器。可以用555定时器构成,也可以用集成芯片构成。我采用集成芯片74123(单稳态多谐振荡器)。 8、报警模块。采用多谐振荡器,周期18秒,占空比63%。由555定时器构成。 9、声响模块。采用直流驱动蜂鸣器。由门铃模块和报警模块驱动。 10、复位开关。若各模块的芯片有清零端\使能端,则接到一起,设计一个复位开关控制。若没有,则将其接地端串联到一个复位开关。 11、按键去抖。采用美信公司的MAX6818开关去抖器。 2.2各模块详细设计 2.2.1数据比较和原始密码输入模块 器件选择 采用两片7485(四位数字比较器)级联方式。用高4位的芯片的输出(YA=YB,YAYB)控制门铃和报警电路。其引脚图和功能表如图2和表1所示。

数字电路课程设计(红绿灯)

数字电路课程设计(一) ——红绿灯设计方案总结报告 指导教师: 设计人员: 班级:电信081 一、日期:设计任务书 1、题目:红绿灯控制器 2、设计要求:设计一个红绿灯控制器设计应具有以下功能 基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能 (1)东西方向绿灯亮,南北方向红灯亮。. (2)东西方向黄灯亮,南北方向红灯亮。 (3)东西方向红灯亮,南北方向绿灯亮。 (4 ) 东西方向红灯亮,南北方向黄灯亮。 要求有时间显示(顺数、逆数皆可),时间自定。(大于15秒以上) 二、设计框图及整机概述 本课程设计在继承了原有的红绿灯的基本功能的基础上,有对其功能进行了很大的完善,其中主要包括: (1)可以对大小路口的绿灯及黄灯任意置数。 (2)在倒计时3~0秒期间,当时正在点亮的绿灯或黄灯会进行闪烁。 正是由于拥有了这两个功能,使得电路稍显复杂,现在将分别讲解其设计思路。 本电路大体上可以分为四个部分,即:赋值电路部分、控灯闪烁部分、控制部分和核心计数部分。 其中,赋值电路部分占据了大量的空间和芯片,其主要原理是数据选择。控灯闪烁部分的芯片较少,也没有占用太多空间,控制部分分布在系统的各个部分,可以说是系统的灵魂,它对整个系统进行着控制。核心计数部分比较简单,主要是进行计数并且产生进位信号。 三、各单元电路的设计方案及原理说明

(一)赋值电路部分 赋值电路的设计是整个电路设计最复杂的一个环节,其主要问题主要集中在(1)对于一个计数器的数据输入端,如何使之在不同时刻数据不同,即:如果假设绿灯为30秒,黄灯5秒,在对绿灯倒数计数时,则计数器的输入端应该为30,在置数信号到达时即可将其置数到输出端,并可开始计数。而当这30秒将要倒数完成时,又要考虑将输入端数据变成05(2)如果设计成任意输入数据,将以何种方式进行输入。 在设计这部分的电路时我首先想到的是第二个问题,开始阶段我所采用的是74LS151,同时决定给每一位个数据分配一个控制开关,但是,问题显而易见,开关太多了……粗略估计了一下,大概需要四十个左右……所以显然方案不行。之后想到了可以用脉冲的方法对计数器进行计数,即通过对计数器的CLK端不断的接高低电平,以达到将想要赋的数存储在计数器中的目的。就是利用的这种不断开起闭合开关的方法,从而将需要赋给核心计数部分的数据先储存起来。完成这部分功能的器件,我选择了74LS160和开关若干。 经过上面的叙述,已经了解了如何将想要实现的大路小路的各种灯的点亮描述输入进来,以及输入系统之后的储存原理。下面所要讲述的是如何将已经输入到系统中,并暂时储存的数据,分时段赋给计数部分份的原理。 从我的原理图可以看到,左侧有四个模块,每个模块由若干个74LS160和74LS153组成,且线路是相互垂直连接的,其中上面两个模块负责的是对黄灯和绿灯时间的计数,现以这两部分进行讲解。74LS153的作用是对已经存储在74160中的数据进行选择。设上数第一个模块为模块A,第二个为模块B。A的作用是对秒的十位进行赋值,B的作用是对秒的个位进行赋值。现以实例讲解:设要求输入大路口绿灯25秒,黄灯04秒,小路口绿灯15秒。同时可以得出,小路口红灯30秒,大路口红灯20秒。则在模块AB中的74LS160从上到下所存储的数据为2(0010)、1(0001)、5(0101)、4(0100)、5(0101)。AB中的数据选择器负责对这些数据进行选择,并输出给计数部分。当数据选择器中的输入端AB为00是,即输出所有AB中的数据选择器的1*0,2*0项,即,A模块通过两个153将输出0010(2),并赋给负责计秒数十位的核心计数部分的模块通过两个153将输出0101(5),即,此时的核心计数部分负责绿灯黄灯秒数的计数器74190的输入端0010

数字电路课程设计

数字交通信号灯控制器的设计 摘要:设计一个十字路口的交通灯控制电路,实现东西方向车道和南北方向车道两条交道路上的车辆交替运行的控制,每次通行时间都设为25秒。时间可设置修改。在绿灯红灯亮前,要求黄灯先亮5秒钟,才能变换运行车道;黄灯亮时,要求每秒闪亮一次。方向南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显(采用倒时的方法)。同步设置人行横道红、绿灯指示。 关键词:设计原理与参考电路过程与效果分析 555定时器 The Controller Design Of Digital Traffic Lights Abstract:Design a crossroads of traffic light control circuit, east-west and north-south direction of the lane two lane road dealings with alternate operation control of the vehicle, each passage of time are set to 25 seconds. Time can be set to modify. Before the red light green light, yellow light on for 5 seconds required to run the lane change; yellow light, require flash once per https://www.360docs.net/doc/0219462288.html,ne north-south direction in addition to red, yellow, green direct, bright light each time a monitor was used (back when the method used).Synchronization settings crosswalk red, green indication. Key words :Design Principles and Reference circuit Process and effect analysis 555 timer

(整理)数字电路课程设计实例---24秒计时器

1 计数器概述 1.1篮球竞赛24秒计时器功能 数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能。而在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号。 1.2设计任务及要求 1.2.1 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)在直接清零时,要求数码显示器灭灯。 (4)计时器为24秒递减计时器,其计时间隔为1秒。 (5)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 (6)秒脉冲由555多谐振荡器给出。 1.2.2设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告

1.2.3 主要参考器件 555 晶体定时器 74 LS74双D触发器 74LS47译码器 74192双时钟十进制计数器 2 电路设计原理与单元模块 2.1设计原理 24秒计时器的总体参考方案框图如图2.1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。 图2.1 24秒计时器系统设计框图 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但是设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡其构成。 译码显示电路由74LS47(译码器)和共阴极七段LED显示器组成。报警电路在试验中可用发光二极管与蜂鸣器代替。

数字逻辑电路 《组合电路的设计实例》习题及参考答案

组合电路的分析方法 习题及参考答案 习题1 三个工厂由甲、乙两个变电站供电。若一个工厂用电,由甲变电站供电;若两个工厂用电,由乙变电站供电;若三个工厂同时用电,则由甲、乙两个变电站同时供电。设计一个供电控制电路。 设 三个工厂用A 、B 、C 表示,用电为1,不用电为0。 两个变电站用M (甲)、N (乙)控制,供电为1,不供电为0。 A B C M N 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 由真值表得 ABC C B A C B A C B A M +++=C B A ⊕⊕= ABC C AB C B A BC A N +++=AB BC AC ++= 这是全加器,M 为本位,N 为进位。 供电控制信号的逻辑电路:甲变电站的控制信号为M ;乙变电站的控制信号为N 。 习题2 设计一个路灯的控制电路(一盏灯),要求在4个不同地方都能独立控制灯的亮灭。 解:设四个控制开关为A 、B 、C 、D ,开关动作使灯L 亮为1,使灯L 灭为0。 按题意写真值表如下,由此写出L 的函数表达式: A B C D L

0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 D C B A B A D C B A D C D C AB D C B A D C B A D C B A L ⊕⊕⊕=⊕⊕+⊕⊕=⊕+⊕+⊕+⊕=) ()()()()()() ()( 控制电路 习题3 A 、B 、C 、D 是4位二进制数,试设计下述要求的判断电路。 (1)它们中间没有1。 (2)它们中间有两个1。 (3)它们中间有奇数个1。 解: (1)它们中间没有1,用Y 1示; D C B A Y =1 (2)它们中间有两个1,用Y 2表示; (3)它们中间有奇数个1,用Y 3表示 A B C D Y 2 Y 3

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555 上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5 .显示模块:由7段数码管来起到显示作用,通过接受 CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 1 .秒发生器:555电路内部(图2-1)由运放和RS 触发器共 同组成,其工作原理由8处接VCCC1处当Uco=2/3Vcc>ull 时运放输出为1,同理C2也一样。最终如图3接口就输出矩 形波,而形成的秒脉冲。 输 A 输 出 复位用P 口二 * 输ittu. 晶悻音T □ >=:: >=:: 0 导通 1 ,百 U 匚匚 导通 1 2 b : 3匚匚 1 越止 1 三订 : 3 cc 保持 保持 图2-2 555功能表 2 .校时模块:校时模块主要由74LS03中的4个与非门构成(图 2-3),由其功能图看得出只要有一个输入端由H 到L 或者从 L 到H 都会使输出端发生高低变化。因此通过开关的拨动产 生高低信号从而对时、分处的计数器起到调数作用。 图2-1内部结构图

数字电路设计实例

数字电路设计实例 一、引言 数字电路是由逻辑门和触发器等基本元件组成的电路,用于处理和存储数字信号。数字电路设计实例是指通过使用逻辑门等元件,根据特定的需求设计和构建数字电路的过程。本文将以几个实际的数字电路设计实例为例,介绍数字电路设计的基本思路和方法。 二、二进制加法器 二进制加法器是数字电路设计中常见的一个实例。其作用是将两个二进制数相加,并输出其和。二进制加法器可以采用半加器和全加器等逻辑门组成。在设计二进制加法器时,首先需要确定输入和输出的位数,然后根据二进制加法的规则,逐位进行运算。最后,将各位的运算结果通过逻辑门连接起来,得到最终的输出。 三、多路选择器 多路选择器是另一个常见的数字电路设计实例。其作用是根据控制信号选择多个输入信号中的一个,并将其输出。多路选择器可以采用多个与门和或门等逻辑门组成。在设计多路选择器时,首先需要确定输入信号的个数和控制信号的位数,然后根据控制信号的值选择对应的输入信号,并将其输出。

四、时序电路 时序电路是数字电路设计中的一类特殊电路,用于处理时序信号。时序电路可以实现计数器、状态机等功能。在设计时序电路时,需要确定时钟信号的频率和计数范围等参数。然后,根据具体的功能需求,选择合适的触发器和逻辑门等元件进行设计和构建。 五、模数转换器 模数转换器是数字电路设计中的另一个重要实例。其作用是将模拟信号转换为数字信号。模数转换器可以采用比较器和计数器等元件组成。在设计模数转换器时,需要确定输入信号的范围和分辨率等参数。然后,通过比较输入信号与参考电压的大小,将其转换为相应的数字信号。 六、总结 数字电路设计实例是数字电路学习中的重要内容。通过实际的设计过程,可以加深对数字电路原理和设计方法的理解。本文介绍了二进制加法器、多路选择器、时序电路和模数转换器等几个常见的数字电路设计实例。希望读者通过阅读本文,能够对数字电路设计有一个初步的了解,并在实际的设计中能够灵活运用所学知识。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计一、简述 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟; 数字电子钟的电路组成方框图如图所示; 图数字电子 钟框图 由图可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制或十二进制计时计数器;秒、分、时的译码显示部分等; 二、设计任务和要求 用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下: 1.由晶振电路产生1Hz标准秒信号; 2.秒、分为00~59六十进制计数器; 3. 时为00~23二十四进制计数器; 4. 周显示从1~日为七进制计数器; 5. 可手动校时:能分别进行秒、分、时、日的校时;只要将开关置于手动位置,可分别对秒、分、 时、日进行手动脉冲输入调整或连续脉冲输入的校正; 6. 整点报时;整点报时电路要求在每个整点前呜叫五次低音500Hz,整点时再呜叫一次高音1000Hz; 三、可选用器材

1. 通用实验底板 2. 直流稳压电源 3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路 4. 晶振:32768 Hz 5. 电容:100μF/16V 、22pF 、3~22pF 之间 6. 电阻:200Ω、10K Ω、22M Ω 7. 电位器:Ω或Ω 8. 数显:共阴显示器LC5011-11 9. 开关:单次按键 10. 三极管:8050 11. 喇叭:1 W /4,8Ω 四、设计方案提示 根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计; 1. 秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲;如晶振为32768 Hz,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示; 74LS74 1Hz 图 秒脉冲发生器 2. 计数译码显示 秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制;时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了; 周为七进制数,按人们一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表所示; 按表状态表不难设计出“日”计数器的电路日用数字8代替;

数电设计实验——数字显示电路

数字电子技术实验 ——数字显示电路

一、设计任务与要求 1.数字显示电路操作面板:左侧有16个按键,标号为0到15的数字,面板右侧有2个共阳极7段显示器; 2.设计要求:按下小于10的按键后,右侧低位7段显示器显示数字,左侧高位7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示十位数字1.若同时按下几个按键,优先级别的顺序是15到0。 二、总体电路设计 1.原理框图 2. 整体设计电路图

3.电路整体分析 本次电路大体可分为三部分:开关及编码部分、译码部分和数码管显示部分。整体思想是由左侧的16个开关控制信号的输入。信号输入后由编码器编码输出,再进入与非门和加法器进行逻辑运算。之后进入译码器进行译码,译码输出后的信号输入数码管输出数字。 各部分电路具体的功能实现将在下面讲解。 4. 元件清单 按键开关×16 8—3线优先编码器74LS148×2 4输入与非门×2 四位二进制加法器×1 显示译码器74LS47×2 共阳极数码管×2 导线等若干 三、单元电路分析 1. 开关及编码部分

本部分负责电路的开关信号的输入和编码。 其中,16个按键开关分别对应的0至15的数字。由于所选用的74LS148编码器是低电平输入,所以我们将开关的初始状态连接高电平,改变状态连接低电平,开关公共端输出到编码器的输入端。由于我们要输入十六个数字,而一片74LS148只有8个输入端,故而选用两片级联的方式,即:将高位片的级联端EO 与低位片的EI相连。这样开关信号的15至8依次进入高位片的D7至D0;开关信号的7至0依次进入低位片的D7至D0。由此实现16个信号的输入并且优先级别顺序是15到0。 2.译码部分 本部分的功能是通过与非门和加法器的逻辑运算,把编码器输出信号变成适合译码器的输入信号。所需完成的变换主要有三: 编码器输出的信号是低电平有效,故需要把输出信号变成其反码。这由U3A到U3D四个与非门实现。将高低两位编码器的相同编号的输出端接 到同一与非门的输入。由于两片编码器只有一片工作,另一片输出高电 平,故而能实现取反的功能; 控制高位译码器的输入:高位需要显示1时,此时通过U4A到U4C三个与非门可以实现输出为1。此高电平除输入给高位译码器外,还作为加 法器的输入,帮助实现“加6”的功能;

数字逻辑电路 《数字频率计电路设计》

数字频率计电路设计 1.设计要求 设计并制作出一种数字频率计,其技术指标如下: (1)频率测量范围:10 ~ 9 999Hz。 (2)输入电压幅度:300mV ~ 3V。 (3)输入信号波形:任意周期信号。 (4)显示位数:4位。 (5)电源:220V、50Hz 2.数字频率计的基本原理 数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1s)内信号发生周期变化的次数。如果我们能在给定的1s时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。 3.系统框图 从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。 图1 数字频率计框图 下面介绍框图中各部分的功能及实现方法。 (1)电源与整流稳压电路 框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2)全波整流与波形整形电路 本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz 的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz 的矩形波。

图2 全波整流与波形整形电路的输出波形 采用过零触发电路可将全波整流波形变为矩形波,也可采用施密特触发器进行整形。 (3)分频器 分频器的作用是为了获得1s的标准时间。电路首先对图2所示的100Hz信号进行100分频得到如图3(a)所示周期为1s的脉冲信号。然后再进行二分频得到如图3(b)所示占空比为50%脉冲宽度为1s的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在1s时间内通过控制门的被测脉冲的数目。 图3 分频器的输出波形 分频器可以采用第5章介绍过的方法,由计数器通过计数获得。二分频可以采用'T触发器来实现。 (4)信号放大、波形整形电路 为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路,波形整形可以采用施密特触发器。 (5)控制门 控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,一个输入端接被测脉冲。控制门可以用与门或或门来实现。当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时进行计数。 (6)计数器 计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为9 999Hz,应采用4位十进制计数器。可以选用现成的十进制集成计数器。 (7)锁存器 在确定的时间(1s)内计数器的计数结果(被测信号频率)必须经锁定后才能获得稳定的显示值。锁存器通过触发脉冲的控制,将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的8位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。 (8)显示译码器与数码管 显示译码器的作用是把用BCD码表示的十进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。 显示译码器的输出方式必须与数码管匹配。 4.实际电路 根据系统框图,设计出的电路如图4所示。

数字电路综合设计

数字电路综合设计

————————————————————————————————作者:————————————————————————————————日期:

6.6 数字电路综合设计 6。6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯与汽车运行状态表如表6.1所示 表6.1 尾灯与汽车运行状态表 开关控制S1 S0 运行状态左尾灯 D4D5D6 右尾灯 D1D2D3 00正常运行灯灭灯灭 01右转弯灯灭按D1D2D3顺序循环点亮 10左转弯按D4D5D6顺序循环点亮灯灭 11临时刹车所由尾灯随时钟CP同时闪烁 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6—2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6。6-1所示 图6.6—1汽车尾灯控制电路原理框图 表6。2 汽车尾灯控制逻辑功能表

(3)单元电路设计 三进制计数器电路可根据表6—2由双J-K触发器74LS76构成。 汽车尾灯控制电路如图6。6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个与门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为 0 “1"无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不 变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0 4 有效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

相关文档
最新文档