跑马灯课程设计报告

合集下载

爱心跑马灯课程设计

爱心跑马灯课程设计

爱心跑马灯课程设计一、课程目标知识目标:1. 学生能理解跑马灯的基本原理,掌握跑马灯电路的搭建方法。

2. 学生能运用所学的物理知识,分析跑马灯电路中的电流、电压和电阻的关系。

3. 学生了解爱心跑马灯的设计理念,将物理知识与实际应用相结合。

技能目标:1. 学生通过动手实践,提高电路搭建和调试的能力。

2. 学生能运用团队协作,共同解决问题,提高沟通与协作能力。

3. 学生通过制作爱心跑马灯,培养创新思维和动手制作的能力。

情感态度价值观目标:1. 学生在课程中培养对物理学科的兴趣,激发学习的积极性。

2. 学生通过爱心跑马灯的制作,体验科技与生活的联系,增强社会责任感。

3. 学生在团队合作中学会关心他人,培养关爱社会的情感。

本课程针对的学生特点为:好奇心强,喜欢动手实践,对物理现象有较高的兴趣。

课程性质为实践性较强的综合实践活动课程。

在教学过程中,要求教师注重引导、启发学生思考,关注学生的个体差异,鼓励学生积极探究、合作交流。

通过本课程的学习,期待学生在知识、技能和情感态度价值观方面取得具体的学习成果,为后续教学设计和评估提供依据。

二、教学内容本课程依据课程目标,结合教材相关章节,组织以下教学内容:1. 跑马灯原理介绍:讲解跑马灯电路的基本工作原理,包括电路组成、电流方向、LED灯的闪烁原理等。

- 相关教材章节:第五章第二节“简单电路的组成与原理”。

2. 电路搭建与调试:指导学生动手搭建跑马灯电路,学会使用万用表进行电路测试和调试。

- 相关教材章节:第五章第三节“电路的搭建与测试”。

3. 电流、电压和电阻的关系:分析跑马灯电路中电流、电压和电阻的变化规律,理解物理量的相互关系。

- 相关教材章节:第四章“电流、电压和电阻”。

4. 爱心跑马灯设计与制作:引导学生运用所学的电路原理,设计并制作具有创意的爱心跑马灯。

- 相关教材章节:第六章“电子制作实践”。

5. 团队合作与展示:学生分组合作,共同完成跑马灯制作,并进行成果展示。

跑马灯VHDL课程设计报告

跑马灯VHDL课程设计报告

跑马灯VHDL课程设计一、设计任务控制8个led进行花式显示,设计四种显示模式:1.从左到右逐个点亮led;2.从右到左逐个点亮led;3.从两边到中间逐个点亮led;4.从中间到两边逐个点亮led;四种模式循环切换,由复位键rst控制系统的运行与停止.二、设计过程根据系统设计要求,采用状态机进行设计,状态机具有四种状态,每种状态完成一种显示模式四种状态间使用case语句进行切换.程序如下:library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity pmd isport( clk, rst: in std_logic;y: buffer std_logic_vector(7 downto 0));end pmd;architecture behave of pmd istype states is (state0, state1, state2, state3);signal state: states;beginprocess (clk, rst)beginif rst='1' theny<="00000000" ; state <= state0;elsif (clk'event and clk='1') thencase state iswhen state0 =>if y="00000000" then y<="10000000";state <= state0; elsif y="10000000" then y<="01000000";state <= state0; elsif y="01000000" then y<="00100000";state <= state0; elsif y="00100000" then y<="00010000";state <= state0; elsif y="00010000" then y<="00001000";state <= state0; elsif y="00001000" then y<="00000100";state <= state0; elsif y="00000100" then y<="00000010";state <= state0; elsif y="00000010" then y<="00000001";state <= state1; end if;when state1 =>if y="00000001" then y<="00000010";state <= state1; elsif y="00000010" then y<="00000100";state <= state1; elsif y="00000100" then y<="00001000";state <= state1; elsif y="00001000" then y<="00010000";state <= state1; elsif y="00010000" then y<="00100000";state <= state1; elsif y="00100000" then y<="01000000";state <= state1;elsif y="01000000" then y<="10000000";state <= state2;end if;when state2=>if y="10000000" then y<="10000001";state <= state2;elsif y="10000001" then y<="01000010";state <= state2;elsif y="01000010" then y<="00100100";state <= state2;elsif y="00100100" then y<="00011000";state <= state3;end if;when state3=>if y="00011000" then y<="00100100";state <= state3;elsif y="00100100" then y<="01000010";state <= state3;elsif y="01000010" then y<="10000001";state <= state3;elsif y="10000001" then y<="00000000";state <= state0;end if;end case;end if;end process;end behave;对程序进行编译波形仿真如下:配置设备下载到实验箱上仿真.四、总结本次实验是功能模块电路的设计,我选作的是跑马灯设计。

4017跑马灯课程设计

4017跑马灯课程设计

4017跑马灯课程设计一、课程目标知识目标:1. 学生能理解跑马灯的基本原理,掌握跑马灯电路的设计与搭建;2. 学生能运用所学知识,分析跑马灯电路中各个组件的作用及相互关系;3. 学生了解跑马灯在生活中的应用,提高对电子技术的认识和兴趣。

技能目标:1. 学生能独立完成跑马灯电路的搭建,提高动手操作能力;2. 学生能通过跑马灯电路的设计与制作,培养创新思维和问题解决能力;3. 学生能运用跑马灯项目,提高团队协作和沟通能力。

情感态度价值观目标:1. 学生通过跑马灯课程,培养对电子技术的热爱和好奇心,增强学习动力;2. 学生在跑马灯设计与制作过程中,树立自信心,勇于面对挑战,培养克服困难的意志;3. 学生在团队协作中,学会尊重他人,培养良好的合作精神和沟通能力。

课程性质:本课程为电子技术实践课程,结合理论知识与动手操作,培养学生的实际应用能力。

学生特点:四年级学生具有一定的电子技术基础,好奇心强,喜欢动手操作,但注意力容易分散,需要激发兴趣和引导。

教学要求:注重理论与实践相结合,强调学生动手操作和团队协作,注重培养学生的学习兴趣和创新能力。

通过跑马灯课程,使学生在实践中掌握知识,提高技能,培养情感态度价值观。

教学过程中,关注学生个体差异,因材施教,确保每个学生都能达到课程目标。

二、教学内容本课程教学内容围绕跑马灯电路的设计与制作展开,包括以下部分:1. 跑马灯原理及电路设计- 介绍跑马灯基本原理,引导学生理解电路工作过程;- 结合课本相关章节,讲解跑马灯电路的设计方法,分析电路中各组件的作用及选型。

2. 跑马灯电路搭建与调试- 指导学生进行跑马灯电路的搭建,培养学生的动手操作能力;- 引导学生根据电路原理图,连接电路,并调试电路,确保跑马灯正常工作。

3. 跑马灯程序编写与优化- 介绍跑马灯程序的编写方法,结合课本内容,使学生掌握编程技巧;- 引导学生优化程序,实现跑马灯的不同效果,培养学生的创新思维。

单片机课程设计报告跑马灯

单片机课程设计报告跑马灯

单片机课程设计报告跑马灯武汉纺织大学单片机课程设计报告设计课题:跑马灯指导教师:刘丰姓名:颜珊曹坤班级:应电092一、设计任务利用单片机制作让LED灯依次闪烁时间间隔为0.5S二次后时间加快为0.2S并循环闪烁的跑马灯.二、设计要求(1)采用单片机STC89C52来控制,下载器由芯片MAX232来对程序的下载。

(2)LED灯的闪烁间隔时间为0.5S-0.25S-1S,每循环两圈更改闪烁速度。

(3)供电采用USB方口的方式。

三、方案设计与论证跑马灯电路的组成方框图为:四,主要元件介绍(1)单片机STC89C52引脚介绍stc89c52的内核和AT51系列单片机一样,故引脚也相同:1~8:I/OP1口(P1.0~P1.7);9:复位脚(RST/Vpd);10~17:I/OP3口(P3.0=RXD,P3.1=TXD,P3.2=-INT0,P3.3=-INT1,P3.4=T0,P3.5=T1,P3.6=-WR,P3.7=-RD)主要是此引脚;18、19:晶振(18=XTAL2,19=XTAL1);20:地(Vss);21~28:I/OP2口(P2.0~P2.7);29:-PSEN;30:ALE/-PROG;31:-EA/Vpp32~39:I/OP0口(P0.7~P0.0);40:+5V电源。

注:引脚功能前加“-”,说明其是低电平有效。

如P3.2=-INT0。

(2)MAX232介绍MAX232芯片是美信公司专门为电脑的RS-232标准串口设计的接口电路,使用+5v单电源供电。

内部结构基本可分三个部分:第一部分是电荷泵电路。

由1、2、3、4、5、6脚和4只电容构成。

功能是产生+12v和-12v两个电源,提供给RS-232串口电平的需要。

第二部分是数据转换通道。

由7、8、9、10、11、12、1 3、14脚构成两个数据通道。

其中13脚(R1IN)、12脚(R1OUT)、11脚(T1IN)、1 4脚(T1OUT)为第一数据通道8脚(R2IN)、9脚(R2OUT)、10脚(T2IN)、7脚(T2 OUT)为第二数据通道。

心形跑马灯实训报告

心形跑马灯实训报告

一、实训目的本次心形跑马灯实训旨在通过实际操作,让学生掌握心形跑马灯的设计原理、电路搭建、编程控制以及实际应用等方面的知识。

通过实训,提高学生的动手能力、创新能力和团队协作能力,同时加深对电子技术、嵌入式系统及编程的理解。

二、实训背景随着科技的发展,嵌入式系统在日常生活中得到了广泛应用。

心形跑马灯作为一种新颖的电子装饰品,具有较高的观赏性和实用性。

本实训项目以心形跑马灯为载体,让学生在实训过程中学习相关知识,培养创新意识。

三、实训内容1. 心形跑马灯设计原理心形跑马灯采用LED灯珠作为显示单元,通过单片机控制LED灯珠的亮灭,形成动态的心形图案。

设计原理主要包括以下几个方面:(1)心形图案设计:通过数学公式计算心形图案的坐标点,将坐标点映射到LED灯珠上。

(2)LED灯珠驱动电路:设计LED灯珠的驱动电路,确保LED灯珠正常工作。

(3)单片机控制电路:设计单片机控制电路,实现LED灯珠的亮灭控制。

2. 心形跑马灯电路搭建(1)电路元器件:主要包括单片机、LED灯珠、电阻、电容、面包板、导线等。

(2)电路搭建步骤:①根据设计原理,设计电路图。

②在面包板上搭建电路,连接单片机、LED灯珠、电阻、电容等元器件。

③检查电路连接是否正确,确保电路正常工作。

3. 心形跑马灯编程控制(1)编程环境:使用C语言进行编程。

(2)编程步骤:①初始化单片机端口,设置LED灯珠的亮灭模式。

②编写心形图案的生成函数,计算心形图案的坐标点。

③编写控制LED灯珠亮灭的函数,实现心形图案的动态显示。

4. 心形跑马灯实际应用(1)展示平台:将心形跑马灯应用于各类活动、展览等场合,展示其独特魅力。

(2)应用场景:如商场、酒店、旅游景点等。

四、实训过程1. 理论学习:首先,学生对心形跑马灯的设计原理、电路搭建、编程控制等方面进行理论学习,了解相关知识。

2. 电路搭建:在面包板上搭建心形跑马灯电路,连接元器件,确保电路正常工作。

3. 编程实践:使用C语言编写心形跑马灯的代码,实现心形图案的动态显示。

汇编课程之跑马灯设计报告

汇编课程之跑马灯设计报告

太原工业学院汇编语言与微机原理课程设计报告学生姓名:刘创学号:*********系部: 计算机工程系专业: 计算机科学与技术题目: 利用8255实现花式跑马灯成绩指导教师李丽2016年6月28日1.设计内容1)设计电路;2)实现6种不同的跑马灯样式;3)跑马灯的运行状态可由开关控制4)要有暂停跑马灯按钮控制即跑马灯的状态切换。

2.总体设计1)设计原理本实验通过循环检测A口数据,判断按得是哪个开关,如开关1按下,则向AL送01h,并送至B口,循环左移AL达到灯的流水下移,调用延时子程序控制灯闪亮时间,通过BH的赋值控制循环次数,达到时间定时效果。

每循环一个周期,CPU都会检测A口的值,从而能即时切换跑马灯花式。

2)设计跑马灯方案a)按下开关1,跑法1,AL初值01H,循环左移,控制一个亮灯自上而下跑动。

b)按下开关2,跑法2,AL初值81H,循环右移,控制一个亮灯自下而上跑动。

c)按下开关3,跑法3,AL初值0AAH,循环左移,控制间隔4个灯同时闪亮。

d)按下开关4,跑法4,AL初值0C0H,循环右移,控制两个亮灯自下而上跑动。

e)按下按键5,跑法5,AL初值05H,循环左移,控制亮暗亮3灯自上而下跑动。

f)按下开关6,跑法6,AL初值0AAH,循环左移,控制间隔4个灯同时闪亮,相比按键3,跑动时间更长,以显示定功能。

g)按下开关7按下,跑马灯暂停工作,再次按下跑马灯继续工作。

3.硬件设计1)Proteus元件清单及功能说明2)电路设计图a)8086微处理机与锁存器、译码器连接b)8255A与跑马灯开关控制连接图c)8255A与跑马灯LED显示灯连接图4. 软件设计1)程序设计思路利用查询式不断循环查询控制跑马灯样式的开关状态,进而编写程序来控制跑马灯的输出样式。

同时,检测是否暂停跑马灯工作状态的按钮,以及时处理暂停与继续工作状态。

2)程序流程图3)程序代码MarqueeHorseRaceLamp.ASM/*文件名*/ CODE SEGMENTASSUME CS:CODESTART: MOV AL,90HMOV DX,206HOUT DX,ALNOKEY:CALL SCANJNZ NOKEYSCAN PROCMOV AL,0FFHMOV DX,202HOUT DX,ALMOV DX,200HIN AL,DXTEST AL,01HJZ P1TEST AL,02HJZ P2TEST AL,04HJZ P3TEST AL,08HJZ P4TEST AL,10HJZ P5TEST AL,20H JZ P6RETP1:CALL PA1PA1 PROCMOV BH,0MOV BL,01HA1:MOV AL,BLMOV DX,202HOUT DX,ALMOV CX,50000CALL DELAY ROL BL,1CALL STOPCALL SCANCMP BL,01HJNZ J1INC BHJ1:JMP A1PA1 ENDPP2:CALL PA2PA2 PROCMOV BH,0MOV BL,80HA2:MOV AL,BLMOV DX,202H OUT DX,AL CALL DELAY ROR BL,1CALL STOP CALL SCANCMP BL,80HJNZ J2INC BHJ2:JMP A2RETPA2 ENDPP3:CALL PA3PA3 PROCMOV BH,0MOV BL,0AAH A3:MOV AL,BLMOV DX,202H OUT DX,AL CALL DELAY MOV CL,1ROL BL,CL CALL STOP CALL SCAN CMP BL,0AAHJNZ J3INC BHJ3:JMP A3RETPA3 ENDPP4:CALL PA4PA4 PROCMOV BH,0MOV BL,0C0HA4:MOV AL,BLMOV DX,202HOUT DX,ALCALL DELAYMOV CL,1ROR BL,CLCALL STOPCALL SCANCMP BL,0C0HJNZ J4INC BHJ4:JMP A4RETPA4 ENDPP5:CALL PA5 PA5 PROCMOV BH,0MOV BL,05HA5:MOV AL,BLMOV DX,202HOUT DX,ALCALL DELAYROL BL,1CALL STOPCALL SCANCMP BL,05HJNZ J5INC BHCALL RETURNJ5:JMP A5RETPA5 ENDPP6:CALL PA6 PA6 PROCMOV BH,05HMOV BL,0AAHA6: MOV AL,BLMOV DX,202HOUT DX,ALMOV CX,50000CALL DELAYROL BL,1CALL STOPCALL SCANCMP BL,0AAHJNZ J6INC BHCALL RETURNJ6:JMP A6RETPA6 ENDPDELAY PROCMOV CX,50000LOOP1: LOOP LOOP1RETDELAY ENDPRETURN PROC CMP BH,04HJZ NOKEYRETSTOP PROC L1:MOV AL,00HMOV DX,202HOUT DX,ALMOV DX,200HIN AL,DXTEST AL,40HJNZ DONEJMP L1DONE:RETSTOP ENDPRETURN ENDPSCAN ENDPCODE ENDSEND START5. 仿真调试与分析a)连接好完整电路后,加载已经写好的跑马灯程序b)调试已经加载的代码,直至代码无编译错误为止c)启动Proteus调试d)拨动相关控制开关,进而控制跑马灯的工作风格e)调试结果基本符合实验前的设计,跑马灯以正确的工作风格进行工作,符合逻辑,整个实验分析正确f)跑马灯实验设计成功,结束实验6. 结论由于之前曾学习过组成原理,对Proteus的电路连接颇为了解,所以本次的电路连接没有太大的问题。

跑马灯-微机课设报告

跑马灯-微机课设报告

微机原理课程设计——跑马灯专业计算机科学与技术班级学生同组指导教师目录跑马灯实验 (2)一、实验目的 (2)二、实验要求 (2)1、基本要求 (2)2、提高要求 (2)三、设计思路 (2)四、实验原理 (3)1、8254定时器 (3)(1)计数通道 (3)(3)工作方式(6种) (3)(4)内部结构 (4)(5)引脚 (4)(6)方式控制字 (4)2、并行接口电路8255 (5)(1)3个数据端口 (5)(2)工作方式 (5)(3)方式控制字 (5)(4)内部结构 (6)五、实验原理图 (6)六、实验流程图 (7)七、实验代码 (9)八、实验结果 (14)1、开关都未闭合 (14)2、开关K0闭合 (14)3、开关K1闭合 (14)4、开关K2闭合 (14)九、实验总结 (14)跑马灯实验一、实验目的(1)通过本次实验更加清楚的掌握芯片8255和8254的使用方法和工作原理;(2)编写一个简单的程序来实现最简单的跑马灯;(3)在此基础上来实现本次实验的要求和任务。

二、实验要求1、基本要求采用8254精确定时,LED的点亮规律为LED7—>LED0,每个LED的点亮时间间隔由逻辑电平开关K0、K1和K2选择。

K0的时间间隔为0.5s,K1的时间间隔为1.0s,K2的时间间隔为1.5s,当主机键盘按下任意键时停止演示。

2、提高要求LED灯的点亮规律变成LED7 —>LED0—> LED7。

三、设计思路使用8255的A端口连接开关,以此判断选择哪个定时。

用B口和LED 灯连接,来实现跑马灯开始亮。

使用C口来判断此时CLK是否为下降沿,以此判断此时是不是达到一个周期。

四、实验原理1、8254定时器8254有3个相互独立的计数器通道,计数器0、计数器1和计数器2. (1)计数通道8254每个计数器通道都有3个信号与外界接口:CLK时钟输入信号、GATE 门控输入信号和OUT计数器输出信号;(2)内部数据总线8254内部通过数据总线缓冲期引出8位数据引脚D7~D0,地址引脚A0和A1,控制引脚 RD、WR、CS;(3)工作方式(6种)●方式0:计数结束中断●方式1:可变成单稳脉冲●方式2:频率发生器●方式3:发波发生器●方式4:软件触发选通信号●方式5:硬件触发选通信号(4)内部结构(5)引脚(6)方式控制字D7 D6 D5 D4 D3 D2 D1 D02、并行接口电路8255(1)3个数据端口8255的3个数据端口分成两组进行控制:A组控制端口A和端口C的上半部;B组控制端口B和端口C的下半部。

实验报告 跑马灯

实验报告 跑马灯

实验报告跑马灯实验报告:跑马灯引言:跑马灯作为一种常见的室内装饰和广告展示工具,广泛应用于商场、剧院、车站等公共场所。

本实验旨在探究跑马灯的工作原理和设计过程,并通过实际搭建跑马灯模型进行验证。

一、跑马灯的工作原理跑马灯是通过一组灯泡或LED灯组成的,它们按照一定的顺序依次亮灭,从而形成连续的动态效果。

跑马灯的工作原理主要包括电路控制和程序设计两个方面。

1. 电路控制:跑马灯的电路控制是通过继电器或集成电路实现的。

继电器是一种电磁开关,通过控制电磁铁的通断来控制灯泡的亮灭。

而集成电路则是通过逻辑门和计时器等元件实现灯泡的顺序控制。

2. 程序设计:跑马灯的程序设计是通过编写一段简单的代码来实现的。

在代码中,通过控制灯泡或LED灯的亮灭时间和顺序来实现跑马灯效果。

常见的程序设计语言如C、Python等都可以用来编写跑马灯的代码。

二、跑马灯的设计过程跑马灯的设计过程包括灯泡或LED灯的选型、电路设计、程序编写和外壳制作等步骤。

1. 灯泡或LED灯的选型:在跑马灯的设计中,选择合适的灯泡或LED灯是非常重要的。

灯泡的亮度、寿命和能耗等指标需要进行综合考虑。

而LED灯则具有节能、寿命长和颜色丰富等优点,因此在现代跑马灯设计中更加常见。

2. 电路设计:电路设计是跑马灯设计中的关键环节。

在电路设计中,需要考虑灯泡或LED灯的亮灭顺序、时间间隔和电源供应等因素。

通过合理的电路设计,可以实现跑马灯的稳定运行和灯泡的长寿命。

3. 程序编写:程序编写是跑马灯设计中的另一个重要环节。

通过编写一段简单的代码,可以控制灯泡或LED灯的亮灭顺序和时间间隔。

程序编写需要考虑灯泡或LED灯的数量和控制方式等因素,以实现预期的跑马灯效果。

4. 外壳制作:外壳制作是跑马灯设计中的最后一步。

通过设计和制作合适的外壳,可以保护电路和灯泡或LED灯,同时也可以增加跑马灯的美观性。

外壳的材料可以选择塑料、金属或木材等,根据实际需要进行选择。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计报告
课题名称基于AT89S51的跑马灯设计
系别机电系
专业
班级
学号
学生姓名
指导教师
完成日期2010年月
教务处制
基于AT89S51的跑马灯设计
一、设计任务与要求
1.设计任务
选择采用AT89S51、74LS245、ULN2803、LED等器件,使用汇编语言实现各种跑马灯动态显示效果设计。

2.设计要求
实现16个LED的全亮、全灭、交错显示、流水灯显示等。

可结合灯的排布以及程序设计实现各种跑马灯动态显示效果。

三个输入按键,按键S2时,LED 灯亮点依次流动;按键S3时,依次点亮LED灯;按键S4时,LED灯交错点亮。

3.设计目的
通过本课程设计掌握单片机系统设计思路和基本步骤;掌握LED驱动电路、延时程序和按键处理程序设计。

能熟练使用Wave6000软件、编程器或下载线。

熟悉Keil、PROTEUS、Protel99se等相关软件的使用。

二、方案设计与论证
在日常生活中,我们总是花样百出的流水灯光,随着电子技术的飞速发展,人们对灯的花样要求也就更多,如全亮、全灭、交错显示、流水灯显示等。

因此,跑马灯得到了广泛的应用。

一个由单片机控制的较简单的数字钟由电源电路、控制电路、驱动电路、显示电路4部分组成。

1. 控制电路
控制电路时整个电路的核心,主要由单片机
来完成。

AT89S51单片机的管脚图如图(1)所示。

单片机执行指令是在时钟脉冲控制下进行的,因
此,单片机必须外接振荡器构成时钟电路才能正
常工作。

另外,还应该在单片机的RES端外接电
阻电容构成复位电路,当单片机运行错误时可以
给一个复位信号使其复位。

单片机的对接口电路的控制是由软件向单片
机的I/O口(即P0~P3口)来实现的。

AT89S51
单片机内部由两个定时/计数器,可以用其中一个
定时/计数器来对时间进行计数,而另一个可以对
显示器的显示延时进行定时并通过中断把相应的
数据通过I/O 口送给显示器显示。

同时,通过对图1 A T89S51管脚图
外部按键的状态判断来进行时间的调整。

2. 显示电路
作为显示电路,采用16个LED灯来进行显示,实现全亮、全灭、交错显示、流水灯显示等。

3. 驱动电路
由于单片机的I/O口输出电流比较弱,不够驱动一位LED数码管,因此,必须在I/O 口和LED数码管之间接一驱动器和限流电阻来驱动LED数码管。

4. 电源电路
由于外部的干扰如电压、电流的波动可能造成直流电源的不稳定,因此,可在电源两端接上滤波器来降低外部干扰对电源造成的影响。

三、单元电路设计
1.时钟电路
单片机执行指令是在时钟脉冲控制下进行的,因此时钟信号时单片机的基本工作条件。

可以通过测量第30脚ALE是否有输出时钟脉冲的六分频信号来判断时钟信号是否正常。

时钟可以由内部和外部两种方式产生,本设计采用内部方式。

如图(2)所示,在XTAL1和XTAL2引脚上外接定时元件。

定时元件通常采用振荡器和电容组成的并联谐振电路。

X1为振荡器,C1、C2为电容。

振荡器的振荡频率主要取决于晶体,电容对振荡频率由微调作用。

外接晶体振荡器时,电容值可选在30pF左右。

图2 内部时钟电路图3 电源滤波电路2.电源电路
如图(3)所示,为了提高电源的稳定,由两个电容并联连接电源两极构成电源滤波电路。

C4电容值比较大,用于滤低频;C5容值比较小,用于滤高频。

3.复位电路
一个时钟周期为振荡周期的2倍,6个时钟周期构成一个机器周期,即12个时钟周期构成一个机器周期。

在RES引脚上输入一个超过两个机器周期的高电平信号,单片机就可以复位。

如时钟频率为12MHz,则有效的复位信号至少应保持2μs以上。

复位电路可以有两种方式:上电复位电路和外部按键复位电路。

图(4)所示为单片机的上电复位电路。

在上电瞬间,因为电容两端的电压不能突变,RST引脚上电位与Vcc相同。

随着电容器充电过程的进行,RST引脚上的电位逐渐下降。

只要适当选择C和R的数值,即可顺利实现复位操作。

图(5)所示为单片机的外部按键复位电路。

选择R1=1KΩ,R2=10KΩ,当按下按键时R1与R2分压,RST引脚上能得到一个高电平的输入信号,实现复位操作。

图4 上电复位电路图5 外部按键复位电路4.接口电路
P1、P2口上每个引脚分别接一发光二极管(LED),通电后电路进入就绪状态,等待用户输入,当用户点击S2,各LED轮流点亮。

当用户点击S3,各LED依次点亮。

当用户点击S4,P1.0至P2.7依次交错点亮。

当用户点击S1后,不论LED工作在那种状态,各LED 熄灭。

图6 接口电路
四、总原理图及元器件清单
1.总原理图
图7 电子钟总原理图
2.元件清单
1. 先排好电路格局,再按照电路格局把电路焊接好。

2. 焊好电路后,先不接通电源,用万用表测试一下电路有没有短接或断开,并做相应的修整。

3. 检测好后,通上电源,检测芯片插座电源两端的电压会不会正常。

4. 断开电源,插上芯片,再接通电源,用万用表检查一下输出电压是否正常。

5. 检测完全没问题后,用仿真器代替单片机,检测程序是否正确,并进行相应的调整。

完全没问题后,就可以将程序烧入单片机,并将单片机芯片插入电路,就可以了。

六、软件设计与调试
程序开始先使P1口为低电平,熄灭各LED,随后系统进入键盘检测循环,当检测到某个键被按下,则转到该键对应的程序处执行。

按键处理程序循环执行各自的功能后,每循环一次后检测S1是否按下,如果按下,各LED熄灭,重新进入键盘检测循环,否则继续循环。

程序流程图如图8。

图8 跑马灯程序流程图
跑马灯程序设计清单如下:
;************;
;中断入口程序;。

相关文档
最新文档