第三章组合逻辑电路

第三章组合逻辑电路
第三章组合逻辑电路

第三章 组合逻辑电路

一、选择题

1.下列表达式中不存在竞争冒险的有 。

A.Y =B +A B

B.Y =A B +B C

C.Y =A B C +A B

D.Y =(A +B )A D

2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5

B.6

C.10

D.50

3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。

A.1

B.2

C.4

D.16

4.下列各函数等式中无冒险现象的函数式有 。

A.B A AC C B F ++=

B.B A BC C A F ++=

C.B A B A BC C A F +++=

D.C A B A BC B A AC C B F +++++=

E.B A B A AC C B F +++=

5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。

A.B =C =1

B.B =C =0

C.A =1,C =0

D.A =0,B =0

6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的

逻辑表达式为Y = 。

A.3X A A X A A X A A X A A 01201101001+++

B.001X A A

C.101X A A

D.3X A A 01

7.一个8选一数据选择器的数据输入端有 个。

A.1

B.2

C.3

D.4

E.8

8.在下列逻辑电路中,不是组合逻辑电路的有 。

A.译码器

B.编码器

C.全加器

D.寄存器

9.八路数据分配器,其地址输入端有 个。

A.1

B.2

C.3

D.4

E.8

10.组合逻辑电路消除竞争冒险的方法有 。

A. 修改逻辑设计

B.在输出端接入滤波电容

C.后级加缓冲电路

D.屏蔽输入信号的尖峰干扰

11.101键盘的编码器输出 位二进制代码。

A.2

B.6

C.7

D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。

A.A ST =1,B ST =D ,C ST =0

B. A ST =1,B ST =D ,C ST =D

C.A ST =1,B ST =0,C ST =D

D. A ST =D ,B ST =0,C ST =0

13.以下电路中,加以适当辅助门电路, 适于实现单输出组合逻辑电路。

A.二进制译码器

B.数据选择器

C.数值比较器

D.七段显示译码器

14.用四选一数据选择器实现函数Y =0101A A A A +,应使 。

A.D 0=D 2=0,D 1=D 3=1

B.D 0=D 2=1,D 1=D 3=0

C.D 0=D 1=0,D 2=D 3=1

D.D 0=D 1=1,D 2=D 3=0

15.用三线-八线译码器74L S 138和辅助门电路实现逻辑函数Y =122A A A +,应 。

A.用与非门,Y =765410Y Y Y Y Y Y

B.用与门,Y =32Y Y

C.用或门,Y =32Y Y +

D.用或门,Y =7

65410Y Y Y Y Y Y +++++

二、判断题(正确打√,错误的打×)

1. 优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。( )

2. 编码与译码是互逆的过程。( )

3. 二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。( )

4. 液晶显示器的优点是功耗极小、工作电压低。( )

5. 液晶显示器可以在完全黑暗的工作环境中使用。( )

6. 半导体数码显示器的工作电流大,约10mA 左右,因此,需要考虑电流驱动能力问题。( )

7. 共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。

( )

8. 数据选择器和数据分配器的功能正好相反,互为逆过程。( )

9. 用数据选择器可实现时序逻辑电路。( )

10. 组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。( )

三、填空题

1.半导体数码显示器的内部接法有两种形式:共 接法和共 接法。

2.对于共阳接法的发光二极管数码显示器,应采用 电平驱动的七段显示译码器。

3.消除竟争冒险的方法有 、 、 等。 答案

一、选择题

1.CD

2.B

3.C

4.D

5.ACD

6.A

7.E

8.D

9.C

10.AB

11.C

12.ABC

13.AB

14.A

15.AB

二、判断题

1.×2.√ 3.√ 4.√5.×6.√ 7.√ 8.√ 9.×10.×

三、填空题

1.阴阳

2.低电平

修改逻辑设计接入滤波电容加选通脉冲

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

(完整版)第20章习题1-门电路与组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. AC D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B A B A + B. AB B A + C. B A B A + D. A AB + 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 1 & B 1 & ≥1

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A S10211I 图示逻辑电路的逻辑式为( )。 A. F =A B AB + B. B A AB F = C. F =()A B AB + 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. F =A B +A B B. F =AB AB + C. F =AB +A B 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B A B F A B F A B F 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

第4章 组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 B A C & & & & D L B A =1 =1 =1 F F A B & & & & & F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

第4章组合逻辑电路教案

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

数电第二章习题教学内容

第二章 一、选择题 1.下列表达式中不存在竞争冒险的有 C D 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为B 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 D 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 A C D 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻 辑表达式为Y = A 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 E 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 C 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 A B 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 C 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 A B C 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D C.A ST =1,B ST =0,C ST =D D. A ST =D ,B ST =0,C ST =0 13.以下电路中,加以适当辅助门电路, A B 适于实现单输出组合逻辑电路。

CMOS第七章 组合逻辑电路

第七章 组合逻辑电路
多路器和逆多路器 编码器和译码器 全加器

组合逻辑电路的一般形式
在数字系统中大量用到组合逻辑电路来执行运算和逻辑操作。 在数字系统中大量用到组合逻辑电路来执行运算和逻辑操作。组合逻 辑电路中不存在反馈回路,没有记忆功能,因此, 辑电路中不存在反馈回路,没有记忆功能,因此,组合逻辑电路的输出只 与当前的输入状态有关,而与电路过去的状态无关。 与当前的输入状态有关,而与电路过去的状态无关。
对于组合逻辑电路,若电路有m个输入 个输入x1,x2,……,xm,产 ★ 对于组合逻辑电路,若电路有 个输入 产 生n个输出信号y1,y2,……,yn, ★ 则输出与输入之间的关系可以表示为: 则输出与输入之间的关系可以表示为:Y=F(X) ( )
2

组合逻辑 IC设计的基本过程 设计的基本过程
根据电路功能的要求列出电路的真值表; 根据电路功能的要求列出电路的真值表; 根据真值表写出每个输出变量的逻辑表达式; 根据真值表写出每个输出变量的逻辑表达式; 通过逻辑化简找出适当的结构形式; 通过逻辑化简找出适当的结构形式; 画出逻辑图和电路图; 画出逻辑图和电路图; 根据电路性能的要求确定每个器件的参数; 根据电路性能的要求确定每个器件的参数; 通过模拟验证电路的功能和性能。 通过模拟验证电路的功能和性能。
3

一、多路器和逆多路器

多路器和逆多路器
多路器( ):通过控制信号从多个数据来源中选择一 多路器(MUX):通过控制信号从多个数据来源中选择一 ): 个传送出去。 个传送出去。 逆多路器( ):根据控制信号把一个数据送到多 逆多路器(DEMUX):根据控制信号把一个数据送到多 ): 个输出端中的某一个。 个输出端中的某一个。 约束条件:如果对 个数据进行 约束条件:如果对m个数据进行 选一, 个控制信号应满足: 选一 个控制信号应满足 m选一,则m个控制信号应满足:
控制信号的位数应满足: 控制信号的位数应满足:

数字电子技术第4章组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F⊕ = + = + = 该电路实现异或门的功能 分析图所示电路,写出输出函数F。 习题图 解:[]B A B B B A F⊕ = ⊕ ⊕ ⊕ =) ( 已知图示电路及输入A、B的波形,试画出相应的输出波形F,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F⊕ = ? = ? ? ? = ? ? ? = 由与非门构成的某表决电路如图所示。其中A、B、C、D表示4个人,L=1时表示决议通过。 (1)试分析电路,说明决议通过的情况有几种。 (2)分析A、B、C、D四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L+ + = ? ? = B A C& & & & D L B A= 1 == 1 F F A B F B A

(2) L 0 0010111 (3)分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) L

试分析图所示电路的逻辑功能。 习题图 解:(1)ABC C B A F )(++= (2) F 01111110 F

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: F 1 0010010 C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

电子第七章 孟宪龙

1、组合逻辑电路根据需要可以加入负反馈。( ) 2、编码器任意时刻都只有一个输入有效,故编码器值允许一个输入端输出有效信号。( ) 3、译码器的功能是将二进制码还原成给定的信息符号。( ) 4、输出高电平有效的现实译码器应该连接共阳极的数码显示器。( ) 5、根据最简表达式设计的逻辑电路是最佳的组合逻辑电路。( ) 填空题 1、组合逻辑电路是由_________、门__________、门和_________门等几种门电路组合而成。它没有___________回路,它的输出直接由____________所决定 2、编码器的功能是把输入的信号转化为__________数码 3、常用的二-十进制集成译码器的型号有________、_________、________等。 常用的显示译码器型号有________、_________、________、_________等。 4、半导体数码管按内部发光二极管的接法不同,可分为________和_________两种。 5、8421BCD 编码器有_______个输入端,有________个输出端,所以也称__________编码 6、任意时刻组合逻辑电路的输出状态仅仅取决于______________,与电路______________。 7、常用的组合逻辑电路有______、______、______、____________等 8、组合逻辑电路一般的分析放大和步骤为:(1)根据逻辑电路图,____________写出输出逻辑函数表达式;(2) ____________,得到最简逻辑函数表达式;(3)根据最简逻辑函数表达式列出____________;(4)根据所列真值表,分析确定____________ 9、组合逻辑电路一般的设计方法和步骤为:(1)________________________;(2)根据时间的因果关系,列出____________;(3)按真值表写出逻辑函数表达式;(4)将逻辑函数表达式____________;(5)________________________ 10、3位二进制编码器有________个输入、________个输出,故也称为________编码器 11、一般编码器在任意时刻________编码;优先编码器允许同时输入________的信号,但电路只对________的输入信号编码,对其他输入信号不予考虑。 12、编码器在应用中突出的优点是可以将________的信号编码后,用________的信号传输线进行传输,________传输信号线的数量 13、74LS147芯片是一种常用的8421BCD 码集成有限编码器,它的________输入端优先级别最高;当输入621I I I 、、同时有效时,其输出0123Y Y Y Y =________________ 14、译码器是________的逆过程,译码器的作用就是将某种代码的原意“翻译”出来,目前译码器主要由集成们电路构成,按其功能可分为________和________ 15、n 个输入端的二进制译码器,有________个输出线,按其输入和输出的线数,二进制译码器可分为________译码器、________译码器和________译码器等。 16、二-十进制译码器也称为________译码器,它的功能是将输入的________码,译成10个高、低电平输出信号,因此也称为________译码器。 17、显示译码器作用是________________________________________,显示相应的十进制数字图形;常用的数码显示管有________、________和________等

第20章习题2门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 A B F 1F 2F 3 (a) (b)

答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B 20-0010、若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50

电子第七章题解

第七章基本门电路和组合逻辑电路 本章讨论了数字电路的一类单元电路——组合逻辑电路。主要任务是学习基本逻辑运算及基本逻辑门电路(与、或、非、与非、或非、异或门等)的逻辑功能;逻辑代数的化简、组合逻辑电路的分析和设计方法;编码器、译码器、数据选择器、加法器等常用组合逻辑器件的逻辑功能及应用。 本章基本要求 (1)熟练掌握基本逻辑运算及基本门电路(与、或、非、与非、或非、异或 门等)的逻辑功能; (2)了解TTL和MOS逻辑门电路的功能、特性参数和使用方法; (3)掌握逻辑代数的化简、组合逻辑电路的分析和设计方法; (4)了解编码器、译码器、数据选择器、加法器等常用组合逻辑器件的逻辑 功能及应用。 本章习题解析 7-1作用于各门电路输入端信号的波形如图7-58(a)所示,画出7-58(b)中1,2,3,4,5各门电路输出端F的波形图。 A B (a) 1 2 3 4 (b) 图7-58 解:先写出各门电路的逻辑表达式 (1)F AB = ________ (2)F A B =+ ___ (3)F A = ____ (4)F AB = 各门电路的波形如图所示:

A B F F F F 1243 7-2 已知输入电压波形和逻辑电路如图7-59所示,试画出1F 、2F 的波形。 A B C (a ) (b) (c) 图 7-59 解:各逻辑电路的逻辑表达式如下: (1)___ ___ 1F A B C =++ (2) ______________ __ 2()F A B C =+ A B C F 1F 2 7-3已知输入电压波形和逻辑电路如图7-60所示,试画出1F 、2F 的波形。

河北联合大学 (原河北理工大学)电工学试题库及答案 第12章 组合逻辑电路 习题

第12章门电路和组合逻辑电路 10636晶 体 管 的 开 关 作 用 是 ( )。 (a) 饱 合 时 集— 射 极 接 通, 截 止 时 集— 射 极 断 开 (b) 饱 合时 集— 射 极 断 开, 截 止 时 集— 射 极 接 通 (c) 饱 合 和 截 止 时 集— 射 极 均 断 开 20639逻 辑 图 和 输 入 A 、B 的 波 形 如 图 所 示, 试 分 析 在 t 1 瞬 间 输 出 F 为( )。(a) “1” (b) “0”(c) 不 定 t 1 A B 图1 图2 30646逻 辑 符 号 图 如 图 所 示, 其 逻 辑 式 为 ( ) 。 (a) F =AB (b) F =AB (c) F =A B + (d) F =A B + 40649图 示 逻 辑 符 号 的 逻 辑 状 态 表 为 ( )。 & A F B

50653逻 辑 符 号 如 图 所 示, 表 示 “ 或” 门 的 是( ) 。 & A F B ≥1A F B & A F B =1 A F B () a () b () c () d 60664逻 辑 图 和 输 入 A 的 波 形 如 图 所 示, 输 出 F 的 波 形 为 ( )。 "0" 1 A F () a () b (c) A F F 70702由 开 关 组 成 的 逻 辑 电 路 如 图 所 示, 设 开 关 接通 为“1”, 断 开 为“0”, 电 灯 亮 为“1”, 电 灯 暗 为“0”, 则 该 电 路 的 逻 辑 式 为( )。 (a) F = 0 (b) F = 1 (c) F = A A A

第三章_组合逻辑电路

第3章组合逻辑电路 德州学院计算机系:刘树海 3-1概述 组合逻辑电路的特点 ?从功能上 ?从电路结构上 逻辑功能的描述 组合逻辑电路的分析方法 组合逻辑电路的设计方法 一、逻辑抽象 ?分析因果关系,确定输入/输出变量 ?定义逻辑状态的含意(赋值) ?列出真值表 二、写出函数式 三、选定器件类型 四、根据所选器件:对逻辑式化简(用门) 变换(用M S I) 或进行相应的描述(P L D)五、画出逻辑电路图,或下载到P L D 六、工艺设计 设计举例: ?设计一个监视交通信号灯状态的逻辑电路 设计举例: 1.抽象 ?输入变量:红(R)、黄(A)、绿(G) 信号(Z)

2. 写出逻辑表达式 设计举例: 3. 选用小规模S S I 器件 4. 化简 5. 画出逻辑图 3-2若干常用组合逻辑电路 ? 加法器 ? 数值比较器 ? 编码器 ? 译码器 ? 数据选择器 ? 数据分配器 加法器 一、1位半加器 RAG RAG G RA AG R G A R Z ++++=''''''

逻辑图: S i A i B i C i i i i i i i i i i i i A B S +=i i i B A C =B A ⊕=2. 全加器(F ull A dder ) 两个 1 位二进制数相加,考虑低位进位。 A i + B i + C i -1 ( 低位进位 ) = S i ( 和 ) → C i ( 向高位进位 ) 1 0 1 --- A 1 1 1 0 --- B + --- 低位进位 1 0 0 1 0 1 1 1 1 1 -1-1-1- i i i i i i i i i i i i i C B A C B A C B A C B A S +++=1 111----+++=i i i i i i i i i i i i i C B A C B A C B A C B A C --- S 高位进位← 0

第4章组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0

时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 真值表: 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。 图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 、 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题] 用与非门设 1,输

河北联合大学 (原河北理工大学)电工学试题库及答案 第12章 组合逻辑电路 习题与答案

第12章组合逻辑电路 12.1 什么叫半加,什么叫全加,两者有何不同,半加器可否组成全加器?全加器可否用作半加器? 【答】半加器是一种不考虑低位来的进位数,只能对本位上的两个二进制数求和的组合电路。 全加器是一种将低位来的进位数连同本位的两个二进制数三者一起求和的组合电路。 根据化简后的全加器的逻辑式可知,用二个半加器和一个或门可以组合成全加器。 将全加器低位进位输入端Ci-1接0,可以用作半加器。12.2 组合电路的设计方法与组合电路的分析方法有何不同? 【答】组合电路的设计方法是在已知逻辑功能的前提下设计出逻辑电路。而组合电路的分析方法则是在已知组合电路结构的前提下,研究其输出与输入之间的逻辑关系。二者实施目的恰好相反。故设计步骤和分析步骤基本相反。 12.3已知四种门电路的输入和对应的输出波形如图所示。试分析它们分别是哪四种门电路?

【解】分析电路图所示波形可知,F1为或门电路的输出,F2为与门电路的输出,F3为非门电路的输出,F4为或非门电路的输出。 12.4已知或非门和非门的输入波形如图中的A和B所示,试 画出它们的输出波形。 【解】由或非门和与非门的逻辑功能求得或非门的输出 F1和与非门的输出 F2的波形如图。

12.5试分析如图所示电路的逻辑功能。 【解】逐级推导各门电路的输出,最后求得 可见该电路为异或门。 12.6 图是一个控制楼梯照明的电路,在楼上和楼下各装一个单刀双掷开关。楼下开灯后可以在楼上关灯,楼上开灯后同样也可在楼下关灯,试设计一个用与非门实现同样功能的逻辑电路。 A B B A F +=

【解】 如果将开关A 、B 同时掷向上方或者下方,灯就会亮。因此灯亮的逻辑表达式为 用与非门实现这一功能的逻辑电路如图所示。 12.7某十字路口的交通管理灯需要一个报警电路,当红、黄、绿三种信号灯单独亮或者黄、绿灯同时亮时为正常情况,其它情况均属不正常。发生不正常情况时,输出端应输出高电平报警信号。试用与非门实现这一要求。 【解】根据逻辑功能列出的真值表如表所示。 B A A B B A AB F ?=+=

07 第七章 使用VHDL语言来设计组合逻辑电路 习题答案

填空题 1、常见的数字系统可以分组合逻辑电路和时序逻辑电路两大部分。 2、逻辑代数是用来处理逻辑运算的代数,参与逻辑运算的变量称为逻辑变量,它一般只有0、1两种取值, 3、所谓逻辑运算,就是按照人们事先设计好的规则,进行逻辑推理和逻辑判断。 4、逻辑表达式是由逻辑变量和逻辑运算符号构成的式子,它能直接反应变量间的运算关系。 5、真值表是将输入变量的所有可能取值和其对应的输出函数列成的表格,它反映了输入逻辑变量和输出函数值关系。 6、将逻辑函数的逻辑表达式中的运算关系用逻辑符号表示出来,就称为函数的逻辑图。 7、用变量随时间变化的波形来反应逻辑函数输入变量和输出变量之间变化的对应关系,称为逻辑函数的波形图。 8、冒险现象表现为输出端可能产生尖峰脉冲。 9、组合逻辑电路有行为描述、数据流描述和结构描述三种设计模式。 综述题 1、请写出与逻辑和异或逻辑的真值表。 答案: 与逻辑: 异或逻辑:

?2 ? 2、请简述逻辑代数的三个基本运算规则及其内容。 答案: ●代入规则:任何一个含有某变量的逻辑等式,如果将等式中所有出现该变量的位 置均代之以一个逻辑函数,则等式仍然成立。 ●反演规则:任何一个逻辑函数式F,如果将式中所有的“·”变为“+”,“+” 变为“·”,“0”变为“1”,“1”变为“0”,“原变量”变为“反变量”,“反变量”变为“原变量”,运算顺序不变,则可以得到函数F的反函数F。 ●对偶规则:任何一个逻辑函数式F,如果将式中所有的“·”变为“+”,“+” 变为“·”,“0”变为“1”,“1”变为“0”,而所有的变量和运算顺序不变,所得到的新的逻辑函数称为F的对偶式,用Fd来表示。 3、请简述组合逻辑电路的分析步骤。 答案: ●根据给定的逻辑电路图,按照从输入到输出逐级推导的方式,写出输出的逻辑函 数表达式。 ●对逻辑表达式进行化简。 ●由已化简的输出函数表达式列写电路真值表。 ●由真值表归纳出电路的逻辑功能。 4、请简述组合逻辑电路的竞争和冒险的产生过程。 答案: 实际上,从信号输入到稳定输出需要一定时间。由于逻辑器件存在一定的延迟时间,且各器件的延迟时间也不尽相同,各信号到达某一会合点经过的路径不同,不同路径上门级数的不同或者门电路的延迟时间的差异,会使信号到达的时间有先有后,这种现象称为电路产生了竞争。 由于竞争的存在,当输入信号发生变化时,在输出跟随输入信号变化的过程中,电路输出发生瞬间错误的现象称为组合逻辑电路产生了冒险。 5、请简述消除竞争冒险的方法。 答案:

相关文档
最新文档