计算机组成原理

计算机组成原理
计算机组成原理

1、(2分)下列关于并行存储器的说法,不正确的是【 A 】。

A、双端口和多模块交叉存储器均采用空间并行技术。

B、主存储器是线性编址的

C、双端口存储器是指同一个存储器具有两组相互独立的读写控制电路。

D、多模块交叉存储器中地址在模块中按交叉方式安排

2、(2分)某计算机的指令流水线由四个功能段组成,指令流经各功能段的时间(忽略各功能段之间的缓存时间)分别是90ns、80ns、70ns 和60ns,则该计算机的流水线操作周期应设计为【 C 】。

A、60ns

B、70ns

C、90ns

D、80ns

3、(2分)

CPU对整个计算机系统的运行是极其重要的,它具有下列选项列出的哪些基本功能【 C 】。

①指令控制②时间控制③数据加工④操作控制

A、①②③

B、①④

C、①②③④

D、②③

4、(2分)在定点二进制运算器中,减法运算一般通过【 B 】来实现。

A、原码运算的十进制加法器

B、补码运算的二进制加法器

C、补码运算的二进制减法器

D、原码运算的二进制减法器

5、(2分)存储器的随机访问方式是指【 A 】。

A、可按地址访问存储器任一编址单元,其访问时间相同且与地址无关

B、可随意访问存储器

C、可对存储器进行读出与写入

D、按随机文件访问存储器

6、(2分)下列用来表示计算机的性能指标不正确的是【 B 】。

A、总线宽度

B、反码

C、MIPS

D、处理机字长

7、(2分)存储器中表示存储的最小单位是【 D 】。

A、存储器

B、以上说法均不对

C、存储单元

D、存储位元

8、(2分)操作数地址,为某一寄存器内容和位移量之和,可以是【 B 】寻址方式。

A、相对寻址方式

B、以上三种方式

C、基址寻址方式

D、变址寻址方式

9、(2分)第一代计算机中使用的主要器件是【 D 】。

A、中小规模集成电路

B、晶体管

C、中大规模集成电路

D、电子管

10、(2分)假设某系统总线在一个总线周期中并行传输4字节信息,一个总线周期占用2个时钟周期,总线时钟频率为10MHz,则总线带

宽是【 B 】。

A、10MB/s

B、20MB/S

C、40MB/S

D、80MB/S

11、(2分)假设某计算机的存储系统由Cache和主存组成,某程序执行过程中访存10000次,其中访问Cache缺失(未命中)500次,则Cache的命中率是【 C 】。

A、50%

B、5%

C、95%

D、9.5%

12、(2分)关于Cache(高速缓冲存储器)的说法中,错误的是【 C 】。

A、在体系结构上,Cache存储器位于主存与CPU之间

B、Cache存储器存储的内容是主存部分内容的拷贝

C、Cache的命中率只与其容量相关

D、使用Cache存储器并不能扩大主存的容量

13、(2分)下列关于定点数和浮点数运算结果溢出的说法正确的是【 D 】。

A、浮点数阶码下溢一般指运算结果为0

B、浮点数阶码上溢指超过当前浮点数所能表示的最大数

C、定点数上溢指超过它所能表示的最大数

D、定点数下溢指运算结果为0

14、(2分)计算机的外围设备是指【 A 】。

A、除了CPU和内存以外的其他设备

B、输入/输出设备及外存储器

C、外存储器

D、输入/输出设备

15、(2分)同步通信之所以比异步通信具有较高的传输频率,是因为同步通信【 B 】。

A、各部件存取时间比较接近

B、用一个公共时钟信号进行同步

C、总线长度较短

D、不需要应答信号

17、一个定点数由符号和数值域两部分组成。根据小数点位置不同,定点数有__纯小数__和纯整数之分。

19、19、(2分)“爸”的拼音为ba,其内码为两个字节,“中”的拼音为

22、简要说明存储器的分级。

存储器分为:高速缓存存储器,主存储器和外存储器。

23 、(4分)指令和数据均存放在内存中,计算机如何区分它们是指令还是数据?

计算机可以从时间和空间两方面来区分指令和数据,在时间上,取指周期从内存中取出的是指令,而执行周期从内存取出或往内存中写入的是数据,在空间上,从内存中取出指令送控制器,而执行周期从内存从取的数据送运算器、往内存写入的数据也是来自于运算器。

24 、(4分)简要说明寄存器寻址方式和寄存器间接寻址方式的不同。

寄存器间接寻址与寄存器寻址的不同在于:指令格式中的寄存器内容不是操作数,而是操作数的地址,该地址指明的操作数在内存中。

25 、(4分)常用集中式总线仲裁方式有哪几种?哪种方式响应时间最快,哪种方式对电路故障最敏感。

1、三种:链式查询方式、计数器定时查询方式、独立请求方式

2、独立请求方式:响应时间最快

3、链式查询方式:对电路故障最敏感

26 、(6分)某机有5个中断源L0~L4,按中断响应优先级从高到低为L0→L1→L2→L3→L4,现要求将中断处理次序改为L1→L3→L4→L0→L2,请写出各中断源(L0~L4)的屏蔽字。

l0:10100

l1:11111

l2:00100

l3:10111

l4:10101

27 、(6分)

假设CPU字长为16位,指令格式结构如下所示,试分析指令格式及寻址方式特点。

1、单字长二地址指令

2、操作码字段op为6位,可以指定64种操作

3、源寄存器和目标寄存器都是通用寄存器,所以是rr型指令

4、这种指令格式常用于算术逻辑类指令

28 、(6分)

有一个字长为32位的浮点数,符号位1位;阶码8位,用移码表示;尾数23位,用补码表示;基数为2,请写出:

①最大数的二进制表示②最小数的二进制表示

1、(1-0.0000 0000 0000 0000 0000 001)*pow(2, 111 1111)

2、-1 *pow(2, 111 1111)

29 、(10分)设有一个具有20位地址和32位字长的存储器,问:(1)该存储器能存储多少个字节的信息?

(2)如果存储器由512K×8位的SRAM芯片组成,需要多少片?(3)需要多少位地址作芯片选择?

1、1m*32/8 = 4mb

2、(1024k/512k)* (32/8) = 8块

3、1位

30 、(8分)磁盘组有6片磁盘,每片有两个记录面,最上最下两个面不用。存储区域内径22CM,外径33Cm,道密度为40道/cm,内层位密度400位/cm,转速6000转/分。问:

(1)共有多少柱面?

(2)盘组总存储容量是多少?

1、有效存储区域大小:16.5-11 = 5.5cm

5.5 * 40 = 220道

2、内层磁道周长: 22*3.14 = 69.08cm

每道信息量:69.08*400 = 27632位 = 3454b

每个面的信息量:3454b * 220道 = 759 880b

总的存储信息量:(6*2 - 2)*759 880 = 7598 800b

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理名词解释

主机:CPU、存储器和输入输出接口合起来构成计算机的主机。 CPU:中央处理器,是计算机的核心部件,由运算器和控制器构成。 运算器:计算机中完成运算功能的部件,则ALU 和寄存器构成。 外围设备:计算机的输入输出设备,包括输入设备、输出设备和外存储设备。 数据:编码形式的各种信息,在计算机中作为程序的操作对象。 指令:构成计算机软件的基本元素,表示成二进制数编码的操作命令。 透明:在计算机中,从某个角度看不到的特性称该特性是透明的。 位:计算机中的一个二进制的数据代码(0或1),是数据的最小表示单位。 字:数据运算和存储单位,其位数取决于计算机。 字节:衡量数据量以及存储器容量的基本单位,1字节等于8位二进制信息。 字长:一个数据字包含的位数,一般为8位、16位、32位和64位等。 地址:给主存储器不同的存储位置指定的一个二进制编号。 存储器:计算机中存储程序和数据的部件,分为内存和外存两种。 存储器的访问:对存储器中数据的读操作和写操作。 总线:计算机中连接功能单元的公共线路,是一束信号线的集合。硬件:由物理元器件构成的系统,计算机硬件是一个能够执行指令的设备。 软件:由程序构成的系统,分为系统软件和应用软件两种。 兼容:计算机部件的通用性。 操作系统:主要的系统软件,控制其他程序的运行,管理系统资源并且为用户提供操作界面。 汇编程序:将汇编语言程序翻译成机器语言程序的计算机软件。 汇编语言:采用文字方式(助记符)表示的程序设计语言,其中大部分指令和机器语言中的指令一一对应。 编译程序:将高级语言的程序转换成机器语言程序的计算机软件。 解释程序:解释执行高级语言程序的计算机软件,,解释并执行源程序的语句。 系统软件:计算机系统的一部分,进行命令解释、操作管理、系统维护、网络通信、软件开发和输入输出管理的软件。 应用软件:完成应用功能的软件,专门为解决某个应用领域中的具体任务而编写。 指令流:在计算机的存储器与CPU之间形成的不断传递的指令序列。 数据流:在计算机的存储器与CPU之间形成的不断传递的数据序列。 接口:部件之间的连接电路,如输入输出接是主机与外围设备之间传递数据与控制信息的电路。 存储器的容量:是衡量存储器容纳信息能力的指标。主存储器中数据的存储一般是以字为单位进行。存储器中存储的一个字的信息如果是数据则称为数据字,如果是指令则称为指令字。

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理-4.pdf

第 1 章 计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ● 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ● 指令和数据以同同等地位存放于存储器内,并可以按地址访问; ● 指令和数据均用二进制表示; ● 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ● 指令在存储器中顺序存放,通常自动顺序取出执行; ● 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU 、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、 机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由 CPU 和主存储器 MM 合成为主机。 CPU :中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和 控制器不在同一芯片上,现在的 CPU 内除含有运算器和控制器外还集成了 CACHE )。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随 机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元 或存储元,不能单独存取。 存储字:一个存储单元所存二进 制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指 CPU 一次能处理的二进制数据的位数,通常与 CPU 的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU 、PC 、IR 、CU 、ALU 、ACC 、MQ 、X 、MAR 、MDR 、I/O 、MIPS 、CPI 、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU :Central Processing Unit ,中央处理机(器),是计算机硬件的核心部件,主要由运 算器和控制器组成。 PC :Program Counter ,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理考研知识点汇总

计算机组成原理考研知 识点汇总 一, 计算机系统概述 (一) 计算机发展历程 第一台电子计算机ENIAC诞生于1946年美国宾夕法尼亚大学.ENIAC用了18000电子管,1500继电器,重30吨,占地170m2,耗电140kw,每秒计算5000次加法.冯?诺依曼(VanNeumann)首次提出存储程序概念,将数据和程序一起放在存储器,使编程更加方便.50年来,虽然对冯?诺依曼机进行很多改革,但结构变化不大,仍称冯?诺依曼机. 发展阶段时间硬件技术速度/(次/秒) 第一代1946-1957 电子管计算机时代40 000 第二代1958-1964 晶体管计算机时代200 000 第三代1965-1971 中小规模集成电路计算机时代 1 000 000 第四代1972-1977 大规模集成电路计算机时代10 000 000 第五代1978-现在超大规模集成电路计算机时代100 000 000 EDVAC(Electronic Discrete Variable Automatic Computer)电子离散变量计算机 组成原理是讲硬件结构的系统结构是讲结构设计的 摩尔定律微芯片上的集成管数目每3年翻两番.处理器的处理速度每18个月增长一倍. 每代芯片的成本大约为前一代芯片成本的两倍 新摩尔定律全球入网量每6个月翻一番. 数学家冯·诺依曼(von Neumann)在研究EDVAC机时提出了“储存程序”的概念.以此为基础的各类计算机通称为冯·诺依曼机.它有如下特点: ①计算机由运算器,控制器,存储器,输入和输出五部分组成 ②指令和数据以同等的地位存放于存储器内,并可按地址寻访 ③指令和数据均用二进制数表示 ④指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 ⑤指令在存储器内按顺序存放 ⑥机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成 图中各部件的功能 ·运算器用来完成算术运算和逻辑运算并将的中间结 果暂存在运算器内 ·存储器用来存放数据和程序 ·控制器用来控制,指挥程序和数据的输入,运行以及 处理运行结果 ·输入设备用来将人们熟悉的信息转换为机器识别的 信息 ·输出设备将机器运算结果转为人熟悉的信息形式

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理术语

计算机组成原理术语大全(一) 概念题:(第一章) 1、主机:主机中包含了除输入输出设备以外的所有电路部件,是一个能够独立工作的系统。 2、CPU:中央处理器,是计算机的核心部件,同运算器和控制器构成。 3、运算器:计算机中完成运算功能的部件,由ALU和寄存器构成。 4、ALU:算术逻辑运算单元,执行所有的算术运算和逻辑运算。 5、外围设备:计算机的输入输出设备,包括输入设备,输出设备和外存储设备。 6、数据:编码形式的各种信息,在计算机中作为程序的操作对象。 7、指令:是一种经过编码的操作命令,它指定需要进行的操作,支配计算机中的信息传递以及主机与输入输出设备之间的信息传递,是构成计算机软件的基本元素。 8、透明:在计算机中,从某个角度看不到的特性称该特性是透明的。 9、位:计算机中的一个二进制数据代码,计算机中数据的最小表示单位。 10、字:数据运算和存储的单位,其位数取决于具体的计算机。 11、字节:衡量数据量以及存储容量的基本单位。1字节等于8位二进制信息。 12、字长:一个数据字中包含的位数,反应了计算机并行计算的能力。一般为8位、16位、32位或64位。 13、地址:给主存器中不同的存储位置指定的一个二进制编号。 14、存储器:计算机中存储程序和数据的部件,分为内存和外存。 15、总线:计算机中连接功能单元的公共线路,是一束信号线的集合,包括数据总线、地址总线和控制总线。 16、硬件:由物理元器件构成的系统,计算机硬件是一个能够执行指令的设备。 17、软件:由程序构成的系统,分为系统软件和应用软件。 18、兼容:计算机部件的通用性。 19、软件兼容:一个计算机系统上的软件能在另一个计算机系统上运行,并得到相同的结果,则称这两个计算机系统是软件兼容的。 20、程序:完成某种功能的指令序列。 21、寄存器:是运算器中若干个临时存放数据的部件,由触发器构成,用于存储最频繁使用的数据。 22、容量:是衡量容纳信息能力的指标。 23、主存:一般采用半导体存储器件实现,速度较高、成本高且当电源断开时存储器的内容会丢失。 24、辅存:一般通过输入输出部件连接到主存储器的外围设备,成本低,存储时间长。 25、操作系统:主要的系统软件,控制其它程序的运行,管理系统资源并且为用户提供操作界面。 26、汇编程序:将汇编语言程序翻译成机器语言程序的计算机软件。 27、汇编语言:采用文字方式(助记符)表示的程序设计语言,其中大部分指令和机器语言中的指令一一对应,但不能被计算机的硬件直接识别。 28、编译程序:将高级语言程序转换成机器语言程序的计算机软件。 29、解释程序:解释执行高级语言程序的计算机软件,解释并立即执行源程序的语句。 30、系统软件:计算机系统的一部分,进行命令解释、操作管理、系统维护、网络通信、软件开发和输入输出管理的软件,与具体的应用领域无关。

67计算机组成原理4

67计算机组成原理4 一、单选题(共15题,共15分) 1. I/O编址方式通常可分统一编址和独立编址,。(1分) A.统一编址是将I/O地址看作是存储器地址的一部分,可用专门的I/O指令对设备进行访问 B.独立编址是指I/O地址和存储器地址是分开的,所以对I/O访问必须有专门的I/O指令 C.统一编址是指I/O地址和存储器地址是分开的,所以可用访存指令实现CPU对设备的访问 D.独立编址是将I/O地址看作是存储器地址的一部分,所以对I/O访问必须有专门的I/O指令 2. 64.微程序控制器中,微程序的入口地址是由形成的。(1分) A.机器指令的地址码字段 B.微指令的微地址码字段 C.机器指令的操作码字段 D.微指令的微操作码字段 3. 50.ROM与RAM的主要区别是_____。(1分) A.断电后,ROM内保存的信息会丢失,RAM则可长期保存而不会丢失 B.断电后,RAM内保存的信息会丢失,ROM则可长期保存而不会丢失 C.ROM是辅助存储器,RAM是主存储器 D.ROM是主存储器,RAM是辅助存储器 4. 中央处理器(CPU)是指。(1分) A.运算器 B.控制器 C.运算器和控制器 D.运算器和存储器 5. 57.下列说法中是正确的。(1分) A.指令周期等于机器周期 B.指令周期小于机器周期 C.指令周期大于机器周期 D.指令周期是机器周期的两倍 6. 61.设计微程序的人员是。(1分) A.硬件设计人员 B.系统软件人员 C.应用软件人员 D.用户 7. 在存储器堆栈中,保持不变的是。(1分) A.栈顶 B.栈指针 C.栈底 D.栈中的数据 8. 56.微操作信号发生器的作用是。(1分) A.从主存中取出指令 B.完成指令操作码的分析功能 C.产生控制时序 D.产生各种微操作控制信号 9. 73.在DMA传送方式中,由发出DMA请求。(1分) A.外部设备 B.DMA控制器 C.CPU D.主存 10. 68.主机与设备传送数据时,采用,主机与设备是串行工作的。(1分) A.程序查询方式 B.中断方式 C.DMA方式 D.通道方式

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

计算机组成原理概念

总线:连接多个部件的信息传输线,是各个部件共享的传输介质。在某一时刻,只允许有一个部件向总线发送信息,而多个部件可以同时从总线上接收相同的消息。分为片内总线,系统总线和通信总线。 时钟周期:也称为振荡周期,定义为时钟频率的倒数。时钟周期是计算机中最基本的、最小的时间单位。在一个时钟周期内,CPU仅完成一个最基本的动作。 机器周期:完成一个基本操作所需要的时间称为机器周期。一般情况下,一个机器周期由若干个S周期(状态周期)组成 存储容量:存储容量是指存储器可以容纳的二进制信息量,用存储器中存储地址寄存器MAR 的编址数与存储字位数的乘积表示。即:存储容量 = 存储单元个数 * 存储字长 立即寻址:立即寻址的特点是操作数本身设在指令字内,即形式地址A不是操作数的地址,而是操作数本身,又称之为立即数。数据是采用补码的形式存放的把“#”号放在立即数前面,以表示该寻址方式为立即寻址。 直接寻址:在指令格式的地址字段中直接指出操作数在内存的地址ID。在指令执行阶段对主存只访问一次。 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成; PC:程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数形成下一条指令地址。IR:指令寄存器,其功能是存放当前正在执行的指令。 CU:控制单元(部件),为控制器的核心部件,其功能是产生微操作命令序列。 ALU:算术逻辑运算单元,为运算器的核心部件,其功能是进行算术、逻辑运算。 ACC:累加器,是运算器中既能存放运算前的操作数,又能存放运算结果的寄存器。MAR:存储器地址寄存器,在主存中用来存放欲访问的存储单元的地址。 MDR存储器数据缓冲寄存器,在主存中用来存放从某单元读出,或要写入某存储单元的数据。I/O输入/输出设备,为输入设备和输出设备的总称,用于计算机内部和外界信息的转换与传主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 微指令:取指周期:PC->MAR,1->R,M(MAR)->MDR,MDR->IR,OP(IR)->CU,(PC)+1->PC 间指周期:Ad(IR)->MAR,1->R,M(MAR)->MDR 执行周期:MDR->MAR,Ad(IR)->MAR,取数指令“LDA M”:1->R,M(MAR)->MDR,MDR->ACC存数指令“STA M”:1->W,ACC->MDR,MDR->M(MAR)加法指令“ADD M”:1->R, M(MAR)->MDR, (ACC)+(MDR)->ACC 1什么是总线?总线传输有何特点?为了减轻总线的负载,总线上的部件都应具备什么特点?解:总线是多个部件共享的传输部件;总线传输的特点是:某一时刻只能有一路信息在总线上传输,即分时使用;为了减轻总线负载,总线上的部件应通过三态驱动缓冲电路与总线连通。

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

相关文档
最新文档