彩灯循环控制系统

彩灯循环控制系统
彩灯循环控制系统

电工电子技术课程

设计

题目:彩灯循环控制电路的

设计与制作

团队成员:李静黄林姜怀亮况雨朦刘京京

组长:李静

班级机电技术教育

专业:121班

指导教师:国海

2014年6月

摘要

基于数字技术的循环流水彩灯系统结合了传统的数字电路基础技术和模块化设计的思路。其简易轻巧,外貌美观,能呈现多彩颜色的特点使它在现实生活中得到了广泛的应用。比如十字路口红绿黄的流水交通灯;节假日装扮用的流水彩灯;自动门上装有的自动流水灯等等。所以流水彩灯的设计可以进一步增加我们对流水灯的了解,感受流水灯给我们生活带来的方便。

小组对彩灯循环控制系统的初步框架进行设计,并对一些仿真软件和硬件系统方案进行了选择。在此基础上,又进一步详细介绍了该控制系统的硬件组成、软件仿真结果、控制方法。在软件仿真部分中,本文重点讲述了使用Multisim对数字电路进行仿真及仿真结果。在硬件设计中,将电路设计从主电路为二进制计数器延伸到用移位寄存器来控制。

最后,本文就基于彩灯循环控制系统的特点,进行了归纳与总结。

关键词:流水彩灯循环 Multisim仿真移位寄存器

彩灯循环控制电路的设计与制作

1 结构设计与方案选择

1.1 基于数字电路技术的彩灯循环控制系统结构

现代的数字电路的设计大体上都是三步走的战略:即信号源,控制电路,输出显示。在明白大体的设计思路之后,对没有部分进行模块化的设计。最终可以完成我们的实验目标。本实验的具体设计框图如下:

提供适当的输入源;彩灯循环控制系统主要是实现我们实验目标中的左循环、右循环、全灭和全亮的功能;显示电路主要是输出显示我们的实验结果。

1.2方案选择

1.2.1方案一:74ls193为主控电路

74ls193是双时钟4位二进制可逆的集成计数器,其双时钟可以实现加计数或

减计数,当计数时钟脉冲从UP 输入时,集成芯片实现加法计数过程,计数过程为0000到1111→0000;当计数时钟脉冲从DOWN 输入时,集成芯片实现减法计数过程。CLR 是异步清零端,高电平有效;~LOAD 是异步欲置数控制端,低电平有效;预置数数据输入端包括A 、B 、C 、D,D 为最高位,A 为最低位; 本电路的系统方案框图为:

图2 74ls193为主控流水彩灯电路框图

简要介绍:利用555计时器所组成的多谐振荡器产生频率为1Hz 的脉冲信号,并将信号送到74ls193的时钟,将清零端CLR 与QD 相连,在进行加计数时,数据到了1000即8时,系统清零而回到0000,即0。在进行减计数时,四输入与非门的输入与QA 、QB 、QC 、QD 相连,输出与置位端~LOAD 相连,DCBA 接0111,即出现输出为1111时,电路回到0111即7,译码器将74ls193的输出地址转换为高低电平并使LED 发光。 仿真电路图如下图所示:

图3 74ls193为主控的流水彩灯仿真图

操作:当 S1接低电平时,灯为全亮;

当S2接低电平时,灯为全灭;

当S3接脉冲信号时,灯实现左移;

当S4接脉冲信号时,等实现右移;

1.2.2方案二:74ls194为主控电路

本来用74ls198电路更为方便,但市场上买不到该芯片。故用两片74ls194来级联而成。

74LS194是四位双向通用移位寄存器。功能:并行输入和并行输出。当工作方式控制端S0、S1输入为高电平时,在时钟脉冲上升沿到来时,并行数据(A-D)被送入相应的输出端QA-QD。此时串行数据(DSR,DSL)被禁止;当S0为高电平、S1为低电平时,在时钟上升沿作用下进行右操作,数据由DSR送入;当S0为低电平、S1为高电平时,在时钟上升沿作用下,数据由DSL送入:当S0和S1均为低电平时,时钟被禁止。

本电路的系统框图为:

图4 74ls194流水彩灯控制电路框图

简要介绍:利用555计时器所组成的多谐振荡器产生频率为1Hz的脉冲信号,并将信号送到74ls194的时钟,两片74ls194级联起来,利用清零端的控制,可以使输出的电平为全低电平,从而使电路LED达到全亮的目的;利用电源的开断可以达到全灭的效果;在彩灯循环之前,利用芯片的并行输入的能力,将01111输入到输出端,在进行循环效果时,利用并行输出及循环的效果,这个0会在一个周期內循环到每一个输出口,从而产生了左移右移的效果。

真电路图如图所示:

图5 74ls194彩灯控制电路仿真图

1.2.3方案选择总结:

从两种方案的设计结果可以看出,虽然实际的市场中没有买到74ls198,只能由两片74ls194来代替。两个电路都用到了三个芯片,但从(1) 满足系统功能和性能的要求。(2) 电路简单,成本低,体积小。 (5) 调试简单方便。(6) 生产工艺简单。(7) 操作简单方便。操作简便是现代电子电路系统的重要特征,难以操作的系统是没有生命力的。这几点来看,方案二的接线的次数比较少,思路相对而言更简单明了,实现起来更便捷。最重要的一点是:方案一虽然实现了循环,但是只能实现一次循环;方案二可以实现多次的循环。故从以上的几点可以毫不犹豫地选择方案二。

2 单元电路的硬件设计

2.1 555定时器构成的多谐振荡器

多谐振荡器的电路结构式一种能够产生矩形脉冲信号的电路,产生的脉冲信号具有比较陡的矩形脉冲信号的上升沿和下降沿.一个理想的矩形脉冲信号电压波

形,可以用傅里叶级数展开成为具有基波正弦分量和基波频率整倍数各次谐波分

量,所以矩形脉冲波是一种多次谐波,从而把能够产生矩形脉冲波的电路结构称为多谐振荡器电路或称多谐振荡器。

用555定时器构成的多谐振荡器电路如下图所示。从电路连接上来看,也是将555定时器连接成施密特发器的结构,即将2、6端并联,再与RC构成的充放电电路的串联点连接,将7端接到放电点。

图6 555多谐振荡电路

电路的振荡频率的计算:

由电路的知识得:

充电所用时间,即脉冲维持的时间:

t1=0.7(R1+R2)C1 (1-1) 放电所用的时间,即脉冲低电平时间:

t2=0.7R2*C1 (1-2)所以,脉冲周期时间为:

T=t1+t2=0.7(R1+R2)C1+0.7R2*C1

=0.7(R1+2*R2)C1

=1.01s (1-3)2.2 74ls194彩灯控制循环电路及显示电路的设计

74ls194芯片及控制方式在前面方案选择的地方已经详细的说明了,这里主要介绍详细的构成左右循环的原理。

单元电路的仿真图如下图所示:

图7 74ls194循环电路的设计

两片74ls194的级联:

想要实现8位的数据输入,只需要将芯片1和芯片2的S0、S1、~CLR和CLK 串联起来作为总输入的这些接口。而芯片1的输出QD与芯片2的SR相连,芯片1的输出QA与芯片2的SL相连;而芯片2的输出QD与芯片2的SR相连,芯片2的输出QA与芯片2的SL相连.这样,两个芯片的级联及循环就设置好了,这个循环可以将我们事先在S0=1、S1=1置入的0在每一个输出口不断地循环。左右循环就是这样实现的。

LED显示部分主要是根据74ls194控制电路的输出状况而设计的。众所周知,全灭的效果是很好设计的,只需要将电源去掉即可:全灭的设计只有用芯片的清零端来控制的。由于在清零的时候,电路输出是低有效的,故将LED的一端接74ls194的输出,另一端接电源,当出现清零时,电路会显示全亮的效果。

2.3 总体电路的仿真设计

仿真电路图参见方案二中“图5 74ls194彩灯控制电路仿真图”。

操作:S4为接通,S1为低电平,S2和S3是任意值时,电路显示的灯为全亮;

S4为断开,S3、S2和S1为任意值时,电路显示的灯为全灭;

S4为接通,S1为高电平,S2接高电平,S3接高电平时,电路只有第一个灯亮,当S3接低电平时,电路实现右移循环;

S4为接通,S1为高电平,S2接高电平,S3接高电平时,电路只有第一个灯亮,当S2接低电平时,电路实现左移循环。

3 电路仿真及仿真结果

仿真具有如下许多的优点:

(1)对电路中只能依据经验来确定的元器件参数,用电路仿真的方法很容易确定,而且电路的参数容易调整。

(2)由于设计的电路中可能存在错误,或者在搭接电路时出错,可能损坏元器件,或者在调试中损坏仪器,从而造成经济损失。而电路仿真中也会损坏元器件或仪器,但不会造成经济损失。

(3)电路仿真不受工作场地、仪器设备、元器件品种、数量的限制。

由于如上的许许多多的优点,使我们在仿真中需要很多的调整,并且仿真的过程中也会遇到很多问题,而分块仿真的方法可以很大程度上减少我们出错的可能,即使出错也会很方便我们找出问题的由来。

555多谐振荡器仿真结果图如下:

图8 多谐振荡器仿真结果

从示波器仿真的结果图可以看出,555定时器构成的多谐振荡器3脚的输出的确是

方波信号,并且信号的频率是1Hz。验证了理论假设的正确性。彩灯循环效果图:

由于循环的效果图很多,故在仿真的时候用逻辑电平来显示。

全灭和全亮:

图9 灯全亮的仿真效果图

图10 灯全灭的仿真效果图由于循环的效果图很多,故在仿真的时候用逻辑电平来显示。右循环:

左循环:

图12 左移逻辑仿真图

仿真小结:从以上的仿真结果可以看出,小组采用的方案二的设计思路是完全正确的,555多谐振荡器的方波仿真图和彩灯循环系统的输出结果都是接近完美的。从理论上验证了设计的正确性。从中,电路仿真我也使我体会到了Multisim 仿真软件的强大。它为我们提供了丰富的芯片资源及电路检测的方式,增强了我们动手的信心,也减少了我们出错的可能。

4 实物连接及操作中出现的问题

4.1 实物连接

按照仿真图连接的方式连接好电路。

4.2 连接中出现的问题及解决方法

555多谐振荡器无法输出方波信号?

原因:几根电源线连接的地方再板上没有导通。解决方法:用万用表出问题的线路之后,将电源线换到其它的地方。

在打开循环开关的时候,LED等出现了意料之外的闪烁?

原因:线路接触不良。解决方法:将单刀双掷开关统一放置在一块地方。且接线的方式一致,这样可以使线路清晰且美观。

结束语

这次实验是我们第一次进行的设计性实验课程,在这次实验中,我们经历了资料的查找、方案的选择、电路仿真、实物连接、编写报告和课程小结。整个过程基本上是模拟实际工作中的项目开发;为我们以后的工作来了一次精彩的彩排。

其中方案的选择体现了最优解的思路:对于一个工程而言,解决的方法是有很多种的,每个人的设计想法都是不一样的。特别是以小组为单位进行设计时,在众多的方案中如何、以什么为标准来进行选择对后面的实际操作有很大的影响。方案选择的好,实际接线的复杂程度及出错率会降低很多。俗话说得好:磨刀不误砍柴工。指的就是这个意思。

电路仿真也是实际操作中不可却很少的一部分,电路中的一些参数都是我们根据自己以往的经验以及理论上的计算得来的,它们符不符合实际的需要还是有待参考的。所以仿真正是为我们解决这一问题的重要方法。在仿真的过程中,我们可以根据仿真的效果图来调整我们电路中的一些参数,并且电路中的一些小问题也可以在仿真中体现出来。

这一次的方案设计也让我体会到了模块化程序设计的重要性,刚拿到题目时。我的心中是一片茫然。不知道如何下手。在对流水彩灯控制电路和Multisim 软件有了一些初步的了解后,我知道了这一次的设计主要分为三个小部分,每一部分都可以用不同的方法来实现。其实在现实的生活中,一些重大任务或重要的工程,在我们的面前就像不可完成一样。但是将任务分块之后,每次完成一小步后。有一天,我们回过头来发现自己居然已经完成了。

课程设计给我们带来许多意想不到的收获,特别是当我们组的实物做出来之后,看到自己的流水彩灯循环点亮时,别提心中有多高兴。同时也希望以后能多体会一下这类实验。最后,感谢罗老师耐心的指导与帮助。

参考文献

[1]伍时和主编数字电子技术基础清华大学出版社2010

[2]张新喜许军编著Multisim10电路仿真及应用机械工业出版社2007

[3]Charles K.Alexander Matthew N.O.Sadiku 编著Fundamentals of Electric Circuits 清华大学出版社2005

[4]阎石主编数字电路技术基础(第四版)高等教育出版社1999

[5]江国强主编数字逻辑电路基础电子工业出版社2006

[6]黄培根、任清褒编著Multisim 7&电路分析基础实验浙江大学出版社2015

附录

图14 555实物引脚图图

图15 555定时器功能表图

VCC QA QB QC QD CLK S1 S0

CR SR A B C D SL GND

图16 74ls194实物引脚图

图17 74ls194功能图

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

彩灯循环控制系统

电工电子技术课程 设计 题目:彩灯循环控制电路的 设计与制作 团队成员:李静黄林姜怀亮况雨朦刘京京 组长:李静 班级机电技术教育 专业:121班 指导教师:国海 2014年6月

摘要 基于数字技术的循环流水彩灯系统结合了传统的数字电路基础技术和模块化设计的思路。其简易轻巧,外貌美观,能呈现多彩颜色的特点使它在现实生活中得到了广泛的应用。比如十字路口红绿黄的流水交通灯;节假日装扮用的流水彩灯;自动门上装有的自动流水灯等等。所以流水彩灯的设计可以进一步增加我们对流水灯的了解,感受流水灯给我们生活带来的方便。 小组对彩灯循环控制系统的初步框架进行设计,并对一些仿真软件和硬件系统方案进行了选择。在此基础上,又进一步详细介绍了该控制系统的硬件组成、软件仿真结果、控制方法。在软件仿真部分中,本文重点讲述了使用Multisim对数字电路进行仿真及仿真结果。在硬件设计中,将电路设计从主电路为二进制计数器延伸到用移位寄存器来控制。 最后,本文就基于彩灯循环控制系统的特点,进行了归纳与总结。 关键词:流水彩灯循环 Multisim仿真移位寄存器 彩灯循环控制电路的设计与制作 1 结构设计与方案选择 1.1 基于数字电路技术的彩灯循环控制系统结构 现代的数字电路的设计大体上都是三步走的战略:即信号源,控制电路,输出显示。在明白大体的设计思路之后,对没有部分进行模块化的设计。最终可以完成我们的实验目标。本实验的具体设计框图如下: 提供适当的输入源;彩灯循环控制系统主要是实现我们实验目标中的左循环、右循环、全灭和全亮的功能;显示电路主要是输出显示我们的实验结果。 1.2方案选择

1.2.1方案一:74ls193为主控电路 74ls193是双时钟4位二进制可逆的集成计数器,其双时钟可以实现加计数或 减计数,当计数时钟脉冲从UP 输入时,集成芯片实现加法计数过程,计数过程为0000到1111→0000;当计数时钟脉冲从DOWN 输入时,集成芯片实现减法计数过程。CLR 是异步清零端,高电平有效;~LOAD 是异步欲置数控制端,低电平有效;预置数数据输入端包括A 、B 、C 、D,D 为最高位,A 为最低位; 本电路的系统方案框图为: 图2 74ls193为主控流水彩灯电路框图 简要介绍:利用555计时器所组成的多谐振荡器产生频率为1Hz 的脉冲信号,并将信号送到74ls193的时钟,将清零端CLR 与QD 相连,在进行加计数时,数据到了1000即8时,系统清零而回到0000,即0。在进行减计数时,四输入与非门的输入与QA 、QB 、QC 、QD 相连,输出与置位端~LOAD 相连,DCBA 接0111,即出现输出为1111时,电路回到0111即7,译码器将74ls193的输出地址转换为高低电平并使LED 发光。 仿真电路图如下图所示:

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

基于MCGS的节日彩灯PLC控制

1 MCGS组态软件简介 1.1 MCGS组态软件的功能和特点 MCGS即“监视与控制通用系统”,英文全称为Monitor and Contorl Generated system。MCGS是为工业过程控制和实时检测领域服务的通用件算计软件,具有功能完善、操作简单、可视性好、可维护性强的突出特点。 MCGS工程组态软件的功能和特点可归纳如下: 1.概念简单,易于理解和使用。普通工程人员经过短时间的培训就能正确掌握快速完成多数简单工程项口的监控程序设计和运行操作。用户可避开复杂的计算机硬件问题,集中精力解决工程本身的问题,按照系统的规定,组态配置出高性能、高可靠性、高度专业化的上位机监控系统。 2.功能齐全,便于方案设计。MCGS为解决工程监控问题提供了丰富多样的手段,从设备驱动(数据采集)到数据处理、流程处理、动画显示、报表输出、曲线显示等各个环节,均有丰富的功能组件和常用图形库可供选用,用户只需根据工程作业的需要和特点,进行方案设计和组态配置,即可生成用户应用软件系统。 3.是实行与并行处理。MCGS从分利用了Windons操作平台的多任务、按优先级分时操作的功能,使PC机广泛应用于工程测控领域成为可能。工程作业中,大量的数据和信息需要及时收集,及时处理,在计算机测控技术领域称其为实时性任务关键任务,如数据采集如画面显示,可在主机运行周期时间内插空进行。而想打印数据一类的工作,可运行于后台,称为脱机作业。MCGS是真正的32位系统,可运行于Microsoft Windows95,98和Microsoft Windows NT平台,以线程为单位进行分时并行处理。 4.建立实时数据库,便于用户分步组态,保证系统安全可靠运行。MCGS组态软件由主控窗口,设备窗口,用户窗口,实时数据库和运行策略五部分构成。其中的“实时数据库”是整个系统的核心。在生成用户应用系统时,每一部分均可分别进行组态配置,独立建造,互不相干;而在系统运行过程中,各个部分都通过实时数据库交换数据,形成互相关联的整体。实时数据库是一个数据处理中心,是系统各个部分及各种功能性构件的公用数据库。各个部分独立地向实时数据库输入和输出数据,并完成自己的差错控制。 5.设立“设备工具箱”,针对外部设备的特征,用户从中选择“构件”,设置于设备窗口内,赋予相关的属性,建立系统与外部设备的连接关系,即可实现对该种设备的驱动与控制。不同的设备对应于不同的构件,所有的设备构件均通过实时数据库建立关系,而建立时又是相互独立的,即对某一构件的操作或改动,不影响其他构件和整个系统的结构,从这一意义

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

循环彩灯系统设计讲解

课程设计报告 题目:循环彩灯系统设计 课程名称:电子技术课程设计学生姓名: 学生学号: 年级:15级 专业:电子信息工程 班级:1班 指导教师: 电子工程学院制 2017年3月

目录 1设计的任务与要求 (1) 1.1 课程设计的任务 (1) 1.2 课程设计的要求 (1) 2 循环彩灯设计方案制定 (1) 2.1 循环彩灯设计的技术方案 (1) 2.2 循环彩灯系统设计的原理 (1) 3 循环彩灯设计方案实施 (2) 3.1 循环彩灯单元模块功能及电路设计 (2) 3.2 循环彩灯电路参数计算及元器件选择 (8) 3.3 循环彩灯系统整体电路图 (9) 4 循环彩灯系统设计的仿真实现 (9) 4.1 仿真软件介绍 (9) 4.2循环设计仿真实现 (10) 5 总结及心得体会 (10) 6参考文献 (11)

循环彩灯系统设计 电子工程学院 电子信息工程专业 1设计的任务与要求 1.1 课程设计的任务 利用Multisim 仿真软件和电子元器件,设计并制作一个循环彩灯系统。 1.2 课程设计的要求 由八只LED 灯组成的彩灯系统,要以一定的花型循环,循环间隔可自行定义。 2 循环彩灯设计方案制定 2.1 循环彩灯设计的技术方案 图1原理框图 2.2 循环彩灯系统设计的原理 555定时器组成多谐振荡电路提供震荡脉冲,利用计数器产生的脉冲控制译码 器,利用多块译码器可实现LED 灯的多种方式循环显示,增添效果显示。 R1?R2给电容C1充电,使逐渐升高, 当时,3脚(Q 端)输出为高电平。当上升到 超过时,3脚输出仍为高电平。当继续上升到略超过时,RS 触发器状态发生翻转,3 脚输出为低电平,同时C1经 R2及7脚内导通的放电管VT 到地放电,迅速下降。 当下降到略低于时,触发器状态又翻转,3脚输出变为高电平。同时,7脚内导通的 放电管VT 截止,电容 C1再次进行充电,其电位再次上升,一直循环下去。根据, 可以看出,通过改变电位器R2的电阻值的大小,即可以改变振荡器的振荡周期,从 而改变3脚输出高低电平的转换时间,进而改变流水灯的速度。

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

彩灯循环控制系统的设计与实现

彩灯循环控制系统的设计与实现 【摘要】本文主要就是结合当下对LED灯的需求,展望了现在的LED灯被应用的情况,提出了现在LED彩灯使用中在硬件层面就固定的灯的花型,不能灵活变化使用的问题。提出了一个彩灯循环控制的方案。想要通过计算机程序语言,结合单片机控制来设计一个彩灯循环控制系统。想要通过硬件的合理选择,还有程序的合理选择来完成一个可以灵活使用的彩灯循环控制系统。本文通过设计背景的简述开始引入。然后主要就彩灯循环控制系统的硬件部分的设计使用和选择,还有系统部分的选择使用作出介绍。最后做了仿真,并根据仿真得出结果。最后就整个设计做了总结。 【关键词】单片机;LED灯;循环控制系统;C语言; 引言 我们现在的城市里,越来越多的使用大量的彩灯,或者一些新型装饰材料来美化城市。正是因为我们的国家现在开始进入到一个全新的发展时期,城市居民衣食温饱的问题已经逐渐的解决,而人们对于文化的需求,对于美的需求却在越来越高。正是因为这样这样的原因,我们的LED灯就开始大量的使用于我们的城市装饰中。特别是晚上,彩灯环绕的城市,都是靠我们大量的LED灯在发挥着巨大的作用。 1、彩灯循环控制系统的设计项目的分析 1.1循环彩灯控制系统的需求背景 我们现在的城市运行中LED灯已经在发挥着巨大的作用。比如我们的证劵交易市场的大厅里,所有的大屏幕都是用LED灯组成的大屏幕,我们的高速公路上很多的电子告示牌也是LED灯大屏幕,甚至我们现在公交车的尾部同样安装了一些小型的LED灯广告牌,我们的大型公交站,交通信号灯,街边的广告牌,城市高架桥上的提示灯,装饰灯,也都是使用我们的LED灯来组成的。其实现在个人使用的LED灯装饰也不少了,很多人的汽车,摩托车,电动车都会使用一些LED灯的装饰,所以LED灯的需求还在越来越大。我们的生活已经离不开这样的一种产品了,它用它的诸多的优势来给我们的生活提供越来越多的便利。正是因为我们的LED灯有很多的优势,比如LED的使用就非常的符合现在越来越提倡的绿色环保理念。这样的的产品又因为造价很低,使用的成本也很低就让我们越来越多的场合都可以使用它。而且现在的LED灯的使用寿命很长,完全符合我们的提倡的可持续发展的理念。但是现在多出情况下的LED装饰灯,都直接是根据不同的需求,不同的环境,直接就把灯的发光方式固定在了硬件的层面,没有办法灵活的根据不同的场合而变化出不同的效果,很多的类似产品没有使用到如今的计算机程序控制。所以我们就希望更多的LED产品能够越来越多的使用到计算机编程,而实现这些彩灯的灵活变化,也就能更能够体现出它更多的优势。能够给我们带来更多的便利。 1.2循环彩灯控制系统的功能分析和设计方案简述 1.2.1循环彩灯控制系统的设计想法 本设计的想法,就是想通过单片机,让LED彩灯实现一些灵活的变化。主要的就是想要通过Keil环境下,用计算机C语言编程实现彩灯的循环控制。在基于STC89C52单片机的基础之上,使用C语言进行编程,控制彩灯实现一些不同的图案,还有不同的时间有不同的变化。希望通过简化电路,提高彩灯的使用效率,也希望让先进的计算机技术能够融合到现在的彩灯控制中。真正的实现一种彩灯

彩灯循环控制器设计

电子技术课程设计说明书题目:彩灯循环控制器(B) 学生姓名:曹文天 学号:200806010211 院(系):电信学院 专业:电气082 指导教师:张震强 2010 年 12 月 10 日

这次课程设计的题目是彩灯循环控制器。题目的要求是:(1)采用一个半导体数码管作为控制器的显示器,能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、1、2、3、4、5、6、7(音乐符号数列)和0、2、4、6、8(偶数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 彩灯控制器主要是通过计数器来实现的。这个彩灯控制电路的实质是要产生一系列的数列,包括自然数列、奇数列、偶数列、音乐数列,然后通过一个七段数码管显示出来。这些数列的生成均是通过不断给一个74HC160脉冲,使其从0到9计数并不断循环。再用另一片74HC160作为循环控制,把它设置成四循环计数器,不断输出00到11。当其输出00时为自然数列输出,01时为奇数列输出,10 时为偶数列输出,11 时为音乐数列输出。0到9的数列产生后在不同状态下通过两片74HC153置成所需数列通过CD4511译码连接数码管输出。另外,按照上述方法产生的奇、偶数列相邻两个数显示的时间是自然数列及音乐数列的二倍。则要用JK触发器加74HC153对自然数列及音乐数列的脉冲进行二分频,使得四种数列相邻两个数显示的时间相同。最后脉冲的产生是通过555电路组成多谐振荡器来产生的。我设置脉冲频率为2HZ,这样经分频后在数码管上显示的数字为一秒变一下。最后要把它们有效的结合起来联合工作,就可以实现目的功能。

数字电子四色花样彩灯控制器课程设计

课程设计任务书
学年第 学期
学 专
院 : 业: 学
信息工程 通信工程 号 :
学 生 姓 名: 课程设计题目:
多功能彩灯控制器设计
起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任 :
2013 年 12 月 25 日-2014 年 01 月 8 日 信息工程学院
邬春明
下达任务书日期 :2013 年 12 月 15 日

课 程 设 计 任 务 书
1.设计目的:
加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路 设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论 文)奠定良好的基础。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :
设计一个四花样自动切换的彩灯控制器。八个一组的彩灯按多种不同的方式显示, 组成不同的视觉效果。要求实现: (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从 1~8 从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :
1、课程设计说明书(论文) 2、电路的计算机仿真(EWB 等,仿真时可用仿真工具中的信号源) 3、答辩
4.主要参考文献:
[1] [2] [3] [4] [5] 周常森. 电子电路计算机仿真技术.山东科技出版社,2006.02
5.设计成果形式及要求:

1_彩灯循环控制器

4.2 应用电路1 彩灯循环控制器的设计与仿真分析 变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢? 这就是我们下面要讨论的课题——彩灯循环控制电路。 在实际工作中,能够实现彩灯循环控制要求的电路形式或方案很多,现给出几种与数字电路内容结合紧密且容易实现的电路方案,以期使读者开阔思路,学习数字电路的设计与制作方法。 1电路设计分析 (1)彩灯循环控制技术指标 ①彩灯能够自动循环点亮。 ②彩灯循环显示且频率快慢可调。 ③该控制电路具有8路以上输出。 (2)方案论证与实现 彩灯循环控制电路主要由3部分组成,其整体框图如图4—5所示。 ①振荡电路。 主要用来产生时间基准信号(脉冲信号)。因为循环彩 灯对频率的要求不高,只需要能产生高低电平,且脉冲 信号的频率可调,所以采用555定时器组成的振荡器, 其输出的脉冲作为下一级的时钟信号。电路如图4-6所不,接上示波器是为了调试振荡器输出信号波形,调试好后就可以去掉示波器,振荡器电路作为一个模块就可以和后续电路相连接了。图4—7为调试好的振荡器输出波形。 ②计数器/译码分配器。 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器CD4017,它是一种用途非常广泛的集成电路芯片。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是00、01、02、…、09依次出现与时钟同步的高电平,宽度等于时钟周期。 CD40 1 7有3个输入端(MR、CP0和~CP 1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1~O9)均为低电平。CP0和~CP l是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP l端输入。设置2个时钟输入端,级联时比较方便,可驱动更多的二极管发光。 CD401 7有10个输出端(O0~O9)和1个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

基于-单片机的节日彩灯设计

单片机课程设计 题目: 院(系): 专业: 学号: 姓名: 指导教师:

摘要 彩灯控制器在我们的日常生活中有着重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次报告中设计的花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 查阅相关资料,用简单的输入输出端口等硬件,结合实际彩灯的亮灭控制过程进行设计。利用键盘上的灯实现灯的各种形式的变换,使彩灯变换的样式更多,克服了节日彩灯变换样式单调的缺点,使节日彩灯朝着变换多种多样的方式发展。 关键词:可编程节日彩灯;循环;控制高低电平;实现对彩灯的控制

目录 目录 引言 (4) 1、设计目的与要求 (5) 2、设计总体方案 (5) 3、模块电路的设计 (7) 4、独立设计部分 (10) 5、焊接制作与调试结果及其分析 (12) 6、设计过程中的问题及其解决方案 (13) 7、心得体会 (13) 8、改进工作展望 (14) 参考文献 (14) 附录一 (15) 附录二 (17) 附录三 (19) 1 设计目的与要求 1.1引言 在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方。一是作为装饰增添

节日气氛,二是有一种广告宣传的作用:用在舞台上增强晚会灯光效果。 彩灯控制器在我们的日常生活中有着重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次报告中设计的花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 数码管是一种半导体发光器件,其基本单元是发光二极管。通过对其不同的管脚输入相对的电流,会使其发亮,从而显示出数字能够显示时间、日期、温度等所有可用数字表示的参数。由于它的价格便宜、使用简单,在电器特别是家电领域应用极为广泛,空调、热水器、冰箱等等。绝大多数热水器用的都是数码管,其他家电也用液晶屏与荧光屏。 可编程节日彩灯打破常规彩灯闪烁固定变化的现状,可根据人们不同的意愿进行编程设计出想要的变换的色彩效果。查阅相关资料,用简单的输入输出端口等硬件,结合实际彩灯的亮灭控制过程进行设计。利用键盘上的灯实现灯的各种形式的变换,使彩灯变换的样式更多,克服了节日彩灯变换样式单调的缺点,使节日彩灯朝着变换多种多样的方式发展。 1.2设计目的 通过课程设计使我们更进一步掌握微机原理与应用课程的有关知识,通过查阅资料,阅读程序,提高设计程序的能力及动手能力,使编程水平有一定的提高,同时也会提高我们通过动手进行硬件设计及程序设计从而提高解决实际问题的能力。 1.3实验要求———————————— 1. 用16盏以上的LED小灯,实现至少4种彩灯灯光效果(不含全部点亮,全部熄灭; 2. 手动模式与自动模式切换:设置一个按钮可以在自动模式和手动模式间切换; 3. 手动模式可以用输入按钮在几种灯光效果间切换; 4. 自动模式下自动在几种效果间切换的功能; 5. 可以通过按钮暂停彩灯效果,使小灯全亮并闪烁,再次按下相同按钮后继续之前的效果。 2 设计总体方案 2.1硬件设计的思路、原理、设计细节 用单片机最小系统控制彩灯电路用流水灯的方式实现彩灯自动闪烁,彩灯电路总共有32的LED共隐接法组成,彩灯电路有三个部分,八个LED组成“二”,十六个LED组成字母“G”,八个LED组成字母“O”。用P0口的P1.0、P1.1、P1.2、P1.3控制四个LED点亮或熄灭,P1.0、P1.1、P1.2、P1.3控制四个LED点亮或熄灭,用P1和P2控制字母“G”的点亮或熄灭,用P3控制字母“O”的点亮熄灭。 2.2、软件设计的思路、原理、设计细节 采用C语言编写控制程序,程序设计思路用流水灯先点亮“二”,然后再点亮字母“G”,最后点亮“O”。

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

单片机控制的循环彩灯控制系统的设计实习报告

一、实习目的《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。二、实习内容利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。三、设计过程:1、能输出4种花型,并能由人工进行花型的切换。(按键) *利用单片机8031的P1.7做总开关,P1.0、P1.1做花型控制开关,P1.5、P1.6做调速开关。实习花型:(1)使彩灯从右到左逐一循环点亮。(2)使彩灯交替闪烁。(3)使彩灯从右到左逐一点亮,当全部点亮后同时闪烁一次。(4)使彩灯从中间到两边顺序点亮,直至全部点亮;再将彩灯从两边到中间熄灭,直至全部熄灭。 2、循环彩灯的循环速度可调。 *P1.5做加速控制开关,P1.6做减速控制开关。3、按键要求:(1)启动/

暂停。按动一次启动,再按一次暂停。是一个双态 转换键*“1”---启动,“0”---停止。(2)花型变化。 按一次,立即停止当前花型的显示,转换为下一种 花型。4种花型可以循环切换。表1.1 拨动开关 与对应的花型 P1.0 P1.1 花型 0 0 花型1 1 0 花型 2 0 1 花型3 1 1 花型4 (3)速度+。按键一 次,速度略加快一点。速度-。按键一次,速 度略减慢一点。表1.2 拨动开关功能表P1.5 P1.6 P1.7 功能功能功能 0 0 0 无加速无减速 停止 1 1 1 加速减速启动 4在LED显示器上显 示相应的花型号。5、显像管显示相应数据 花型显像管 A 花型1 B 花型2 C 花型3 D 花型4 6、利用软件子程序或单片机 内部的定时/计数器实现定时功能,以模拟循 环速度的基准值。四、实习器材TDN86/51 二合一微机实验教学系统1台,配套计算机 一台,连接导线若干五、实验原理图: 如上图所示,8031的P0口接8155的AD0-7口,P2.7和P2.6 分别接了8155的片选线和I0口,而8031的P1口做开关接口, 8155的PA和PC接显像管的字位和字形,PB接LED。六、程

基于单片机的节日彩灯控制器

基于单片机的节日彩灯控 制器 Prepared on 24 November 2020

湖北文理学院理工学院 课程单片机课程设计 题目节日彩灯控制器设计 专业通信工程 姓名学号 姓名学号 姓名学号 一、任务 以单片机为核心,设计一个节日彩灯控制器。 二、设计要求 以单片机为核心,设计一个节日彩灯控制器,要求有四个控制按键: ●K1—开始,按此键则灯开始流动(两翼展开)。 ●K2—停止,按此键则停止流动,所有灯为暗。 ●K3—上,按此键则灯由上向下流动。 ●K4—下,按此键则灯由下向上流动。

第1章绪论 彩灯是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。新中国成立后,彩灯艺术得到了更大的发展,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出。而随着人们生活环境的不断改善和美化,在许多节日的气氛里可以看到彩色霓虹灯,这种LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。其将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。在当今的社会里,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的生活。 1 LED彩灯控制器概述 新型LED彩灯系统包括两大部分,即LED彩灯控制器(89C51主控模块)和LED彩灯管(管内LED板模块)。彩灯控制器是主控模块,具有按键、显示等功能,并利用89C51的P口输出控制信号;彩灯管是受控模块,上面焊有三色LED彩灯和信号驱动芯片,模块置于LED的透明管内。该LED彩灯控制器是一种基于STC89C51单片机的彩灯控制器,实现对LED彩灯的控制。其以STC89C51单片机作为主控核心,与键盘、显示、驱动等模块组成核心主控制模块。如果稍微改动控制电路,就可以改变电路的不同工作状态,控制彩灯变幻出不同的闪烁效果。 2 LED彩灯控制技术状况 彩灯控制电路是由单元模块电路组合而成的,主要以STC89C51单片机为控制中心,并与按键控制电路、时钟电路、复位电路在直流稳压电路的相互作用下进而控制彩灯亮灭的顺序,从而实现多控制、多闪烁方式的LED彩灯循环。 3 本设计任务 运用STC89C51单片机、发光二极管、电阻、电容、按键等元件组成LED 节日彩灯控制电路中的按键控制电路、彩灯显示电路以及单片机最小系统等模块。并用Proteus等软件仿真,做出其电路仿真图。 第2章总体方案设计与论证

相关文档
最新文档