8路指示灯读出8路8路拨动开关状态

合集下载

八路循环彩灯电路原理图

八路循环彩灯电路原理图

工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。

再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。

74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。

显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。

若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

8路彩灯控制电路设计

8路彩灯控制电路设计

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:指导教师:2014年 6 月25 日目录1.课程设计的目的 (1)2.课程设计题目描述和要求 (1)3.电路设计 (1)4.设计过程中遇到的问题及解决办法 (7)5.结论与体会 (8)附表参考书目 (9)1. 课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.掌握数字电路课程学习的常见芯片的功能,熟悉其工作原理;3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。

2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3.1闪烁花型设计花型Ⅰ:8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。

花型Ⅱ:8路彩灯由中间到两边对称的依次点亮,全亮后仍由中间到两边对称熄灭。

花型Ⅲ:8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。

花型Ⅳ:8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。

花型状态编码表如表3.1.1所示。

每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。

表3.1.1 8路彩灯输出状态编码表3.2设计原理3.2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3.2.1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。

图3.2.1 彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭;控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。

3.2.2器件的选择74LS194N·························································2片74LS161N·························································2片74LS04D ·························································6片74LS76D ·························································1片时钟电压源·······················································1个VCC(5V)·························································3个3.3设计方案(1)编码器的设计。

FT-RS-0808A(MODBUS-RTU协议)8路开关量输入8路开关量输入说明书VA8.1.1

FT-RS-0808A(MODBUS-RTU协议)8路开关量输入8路开关量输入说明书VA8.1.1
三、产品应用说明
将主计算机串口接转换器(RS-232/RS-485),转换器输出 A 端和所有模块的 A 端连接,B 端和所有模块的 B 端连接,并在两终端接入匹配电阻(距 离较近时,也可不用),接入电源。
FT-RS-0808A 模块出厂时,都已经过测试,且模块地址为 01 号,波特率为 9600bps。模块地址从 1-247 随意设定;波特率 9600bps.使用。 模块地址 01
0006H 0007H 0008H 0009H 000AH 000BH 000CH
参数 7 参数 8 参数 9 参数 10 参数 11 参数 12 参数 13
DI1 扩展功能设置 DI2 扩展功能设置 DI3 扩展功能设置 DI4 扩展功能设置 DI5 扩展功能设置 DI6 扩展功能设置 DI7 扩展功能设置
是否主机断线 复位 DO
数据数据范围 0-7,对应波特率 1200-115200
地址范围 1-247 ,公共地址 0 数据范围 0-15 数据范围 0-2 0:DI 不联动 DO 1:DI 联动 DO,DI 有效 DO 有效,DI 无效 DO 无效, 2:DI 联动 DO,DI 有效 DO 输出和上次取反输出。 10:DI 输入处理由独立的设置来决定(参数 6—参数 14)
数据范围:0-65535,单位秒 如果数据为 0,则不检测主机断线,如果数据大于 0,则运行主机 断线复位功能 如数据为 0064H,则为 100 秒,是指模块在 100 秒钟之内,如果没 有接收到主机数据,则将模块输出关断(继电器都断开)
0005H
参数 6
模块返回数据延迟 模块收到主机数据,额外延迟时间设置值域 0-65535mS
数据范围:0-65535,单位秒 如果数据为 0,则不检测主机断线,如果数据大于 0,则运行主机断 线复位功能 如数据为 0064H,则为 100 秒,是指模块在 100 秒钟之内,如果没有 接收到主机数据,则将模块输出关断(继电器都断开)

8路彩灯控制器

8路彩灯控制器

8路彩灯控制器实验报告8路彩灯控制器一、实验目的:1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构。

二、实验要求:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花形循环变化。

两种节拍分别为0.25s和0.5s。

三种花型分别是:1、8路彩灯从左至右按次序见谅,全亮后逆次序渐灭。

2、从中间到两边对称渐亮,全亮后仍从中间到两边逐次渐灭。

3、8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。

三、实验原理:四、实验程序与步骤:程序:1、8路彩灯的三种花形控制模块CDlibrary ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cd isport(clk:in std_logic;jp:out std_logic;qq:out std_logic_vector(7 downto 0)); end cd; architecture behav of cd isconstant w:integer:=7;signal q:std_logic_vector(7 downto 0); beginprocess(clk)variable flag:bit_vector(2 downto 0):="000"; variable jp1:std_logic:='0';beginif clk'event and clk='1' thenif flag="000"thenq<='1'&q(w downto 1);if q(1)='1'thenflag:="001";end if;elsif flag="001" thenq<=q(w-1 downto 0)&'0';if q(6)='0'thenflag:="010";end if;elsif flag="010"thenq(w downto 4)<=q(w-1 downto 4)&'1';q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="011";end if;elsif flag="011"thenq(w downto 4)<=q(w-1 downto 4)&'0';q(w-4 downto 0)<='0'&q(w-4 downto 1);if q(1)='0'thenflag:="100";end if;elsif flag="100"thenq(w downto 4)<='1'&q(w downto 5);q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="101";end if;elsif flag="101"thenq<="00000000";jp1:=not jp1;flag:="000";end if;end if;qq<=q;jp<=jp1;end process;end behav;2、二选一多路选择器模块MUX21 library ieee; use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0'; beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;步骤:1、输入程序CD、MUX21、FEN2。

八通道电源控制器使用说明书

八通道电源控制器使用说明书
1
网络型八通道电源控制器
一、功能介绍:
八路电源控制器,与可编程中央控制主机或 PC 机通信实现对多种周边设备电源的控制。如用于 控制灯光开关、电动屏幕升降、电动窗帘开合及给投影机、摄像机、会议系统等设备提供电源控制。
电源控制器内置丰富的通讯接口,可通过RS-232、RS-485或者ETHERNET等通讯接口实现与可 编程中央控制控制主机或者PC机通讯,可通过给电源控制器设置不同的ID,同一通讯接口同时对多台 电源控制器实现通信控制。
机器内有交流220V 高压部件,安装、接线时请勿带电操作,以免发生触电危险。 请勿将设备置于过冷或过热的地方。
设备电源在工作时会发热,因此要保持工作环境的良好通风,以免温度过高而损坏机器。
阴雨潮湿天气或长时间不使用时,应关闭设备电源总闸。
在下列操作之前一定要将设备的输开或重接设备的任何电器插头或其它连接。
本手册为产品生产商版权所有,未经许可,任何单位或个人不得将本手册之 部 分或其全部内容作为商业用途。
网络型八通道电源控制器
! 安全操作指南
为确保设备可靠使用及人员的安全,在安装、使用和维护时,请遵守以下事项:
在设备安装时,应确保电源线中的地线接地良好,请勿使用两芯插头。确保设备的输入电 源的电压与主控机标注所需电压一致。
CRESTRON、CREATOR 及所有第三方中控系统。 6、提供八个独立触点控制接口,可外接按键或连接中控系统,对通道开关进行单独强制开关操作。 7、多个设备可进行 RS-232、RS-485 级联,最大可支持 999 台级联。 8、提供端口互锁功能。 9、提供端口延时操作功能,实现延时时间长达 18 小时或者更多(65535 秒)。 10、提供掉电恢复工作模式,工作过程中意外断电,来电后各通道可时序恢复断电前工作状态(使

8路指示灯读出8路拨动开关

8路指示灯读出8路拨动开关

8路指示灯读出8路拨动开关的状态
8路拨动开关一端接地,另外一端8路通过JP40引出。

相关原理图:
实验目的:通过设置不同的8路拨动开关的状态,使对应的8路指示灯亮灭。

从而理解单片机中数和位的概念以及数据传递的概念。

把八路拨动开关的JP40用一条8PIN的数据排线引入到CPU的P0口JP51,然后用一条8PIN的数据排线把CPU部份的P1口(JP44)连接到八路指示灯部份的JP32。

程序运行照片:
程序流程图:
汇编语言参考程序:
org 0080h ;开始
ajmp loop
org 0030h ;到0030h处避开00-30之间的敏感地址
loop: MOV A,P0 ; P0口的状态(开关)读到A
MOV P1,A ;把A的数据送到P1口(指示灯)
JMP LOOP ;重新开始
END
c语言参考程序:
#include<reg51.h> //头文件
#define uchar unsigned char //宏定义,为方便编程#define uint unsigned int
#define KEY P0 //宏定义,将P0口定义为按键KEY
#define LED P1 //宏定义,将P1口定义为LED
uchar keyvalue=0; //定义变量,存放按键值
char code SST516[3] _at_ 0x003b; //仿真器保留main() //主函数
{
while(1)
{
keyvalue=P0; //取按键值,存入keyvalue
P1=keyvalue; //将按键值赋给P1口,驱动相应LED发光}
}。

八路彩灯循环显示电路

八路彩灯循环显示电路

北京联合大学实验(实习、实训)报告课程(项目)名称:微型计算机技术学院:师范学院专业:计算机科学与技术班级:2009 级学号:09姓名:陈秋月成绩:2011年4月27日3八路彩灯循环控制器一、实验目的1、学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。

2、掌握计数、译码、显示综合电路的设计与调试。

3、掌握实际输出电路不同要求的实现方法。

4、学会光敏电阻与继电器的使用。

二、实验内容及要求输出8路彩灯(八种状态)实现以下功能:①通过一个外部开关控制彩灯左移和右移;②亮点移动一位即可;③彩灯亮点移动时间间隔取1秒。

三、工作原理CD4040是12位异步二进制计数器,它仅有2个输入端,即时钟输入端CP和清零端CR。

输出端为Q1-Q12.当清零端CR为高电平时,计数器输出全被清零;当清零端CR为低电平时,在CP脉冲的下降沿完成计数。

74LSl38是3线-8线译码器,具有3个地址输入端A2、A1、A0和3个选通端S1、S2、S3以及8个译码器输出端Y0~Y1。

用555定时器组成多谐振荡器,输出频率为f=101Hz。

由CD4040分频后,高3位Q12、Q11、Q10的输出分别接在74LSl38译码器的A2、A1、A03端。

每隔t=512/f≈5s的时间,A0。

变化一次,每隔约10 s 的时间A1变化一次,每隔15s的时间A2变化一次,从而使其输出端Y0~Y7驱动的发光二极管顺序循环亮与灭。

四、原件介绍1、74LS138以3线-8线译码器74LS138为例进行分析,图6-4、6-5分别为其逻辑图及引脚排列。

其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。

表6-6为74LS138功能表,也是此八路彩灯循环输出的结果。

当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。

当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

LH-IO808 8路串口继电器使用说明书

LH-IO808 8路串口继电器使用说明书

8路串口继电器说明书适用产品系列/型号:LH-IO808历史版本修订日期修订记录版本号修订人2021/10/20模版修订V1.0李世涛2021/10/26版本更新,修改错误V1.1李世涛2022/09/05固件及上位机更新1、上位机用了更美观的UI,增加了常用功能2、固件增加了断电记忆、校验位修改V2.0李世涛目录1.产品介绍 (4)1.1.产品简介 (4)1.2.工作模式说明 (5)1.2.1.正常模式 (5)1.2.2.闪开闪闭功能 (5)1.2.3.本机非锁联动模式 (6)1.2.4.本机自锁联动模式 (6)1.2.5.本机互锁模式 (6)1.2.6.双机非锁联动模式 (7)1.2.7.双机自锁联动模式 (7)2.规格参数 (9)3.产品尺寸 (10)4.通信协议与数据格式 (11)4.1.上位机软件下载 (11)4.2.设备通信配置 (11)4.2.1.地址的设定与读取 (11)4.2.2.波特率、校验位、断电记忆的设定与读取 (12)4.3.通信协议说明 (13)4.3.1.Modbus寄存器说明 (13)4.3.2.线圈寄存器地址表 (13)4.3.3.指令列表 (15)4.3.4.指令详解 (16)4.4.主动上报协议 (22)5.电气接线 (22)5.1.产品使用拓扑图 (22)5.2.产品接线端子定义 (23)5.3.产品输入接线图 (25)5.4.产品输出接线图 (26)6.产品维护保养 (28)6.1.设备使用环境 (28)6.2.常见问题与解决办法 (29)7.售后服务 (30)7.1.售后服务承诺 (30)7.2.免责声明 (30)7.3.联系方式 (31)用户须知使用前请详细阅读本说明书,并保存以供参考。

请遵守本说明书操作规程及注意事项。

在收到仪器时,请小心打开包装,检视仪器及配件是否因运送而损坏,如有发现损坏,请立即通知生产厂家及经销商,并保留包装物,以便寄回处理。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

2014秋季学期AVR单片机应用技术
实验报告
计算机与信息学院
2014年10月
8路指示灯读出8路拨动开关状态
一,实验类型
验证型
二,实验内容
实验目的:通过设置不同的8路拨动开关的状态,使对应的8路指示灯亮灭。

从而理解单片机中数和位的概念以及数据传递的概念。

把八路拨动开关的JP40用一条8PIN的数据排线引入到CPU的P0口JP51,然后用一条8PIN的数据排线把CPU部份的P1口(JP44)连接到八路指示灯部份的JP32。

程序运行照片:
VID_20141124_183025.mp4
程序流程图:
汇编语言参考程序:
org 0080h ;开始
ajmp loop
org 0030h ;到0030h处避开00-30之间的敏感地址loop: MOV A,P0 ; P0口的状态(开关)读到A
MOV P1,A ;把A的数据送到P1口(指示灯)
JMP LOOP ;重新开始
END
c语言参考程序:
#include<reg51.h> //头文件
#define uchar unsigned char //宏定义,为方便编程
#define uint unsigned int
#define KEY P0 //宏定义,将P0口定义为按键KEY
#define LED P1 //宏定义,将P1口定义为LED
uchar keyvalue=0; //定义变量,存放按键值
char code SST516[3] _at_ 0x003b; //仿真器保留
main() //主函数
{
while(1)
{
keyvalue=P0; //取按键值,存入keyvalue
P1=keyvalue; //将按键值赋给P1口,驱动相应LED发光
}。

相关文档
最新文档