流水灯的设计与实现
流水灯led毕业设计

流水灯led毕业设计流水灯(LED)毕业设计引言:在现代科技发展的背景下,LED(Light Emitting Diode,发光二极管)作为一种新型照明技术,被广泛应用于各个领域。
在本文中,将介绍一个基于流水灯(LED)的毕业设计项目,探讨其设计思路、实现方法以及应用前景。
一、设计思路1.1 设计目标流水灯作为一种常见的照明装饰,常用于舞台灯光、建筑物照明等场合。
本设计旨在通过使用LED灯珠,实现一个具有良好视觉效果的流水灯,同时考虑到节能环保的特点。
1.2 设计原理流水灯的工作原理是通过控制LED灯珠的亮灭顺序和时间间隔,使得灯珠在空间上形成流动的效果。
为了实现这个目标,需要使用微控制器、电路板和LED 灯珠等元件。
二、实现方法2.1 硬件设计在硬件设计方面,需要考虑以下几个关键点:(1)LED灯珠的选择:选择高亮度、低功耗的LED灯珠,以确保流水灯的亮度和节能性。
(2)电路板设计:设计合理的电路板,将LED灯珠与微控制器相连,以实现流水灯的控制和调节。
(3)电源供应:选择适当的电源供应方式,确保流水灯的正常工作。
2.2 软件设计在软件设计方面,需要编写程序控制LED灯珠的亮灭顺序和时间间隔。
可以使用C语言或者其他编程语言,通过控制微控制器的输出口,实现流水灯的效果。
三、应用前景流水灯作为一种照明装饰,具有广泛的应用前景。
随着人们对照明环境的要求越来越高,流水灯的市场需求也在不断增加。
在舞台演出、商业广告、城市景观等领域,流水灯都有着广泛的应用。
而且,由于LED灯珠具有节能环保的特点,流水灯的使用也符合现代社会对绿色环保的追求。
结论:通过对流水灯(LED)毕业设计的介绍,我们可以看到LED照明技术在流水灯领域的应用前景十分广阔。
通过合理的硬件设计和软件编程,可以实现一个具有良好视觉效果的流水灯。
同时,流水灯的使用还能够满足节能环保的需求,具有广泛的市场潜力。
相信在未来的发展中,LED流水灯将会在照明装饰领域发挥更加重要的作用。
流水灯的实验原理及步骤

流水灯的实验原理及步骤流水灯(也称为跑马灯)是一种由多个LED灯组成的电子显示器件,常常被用于电子实验、电子产品展示等场合中。
流水灯可以通过变化发光的方式来传递信息或者装饰环境,具有简单、实用、灵活的特点。
下面将详细介绍流水灯的实验原理及步骤。
实验原理:流水灯的实现原理是通过控制每个LED灯的点亮与熄灭来形成一种连续而有序的动画效果,使得LED灯看起来像是在“流水”一样运动。
一般来说,流水灯采用的是LED的时分多路复用技术,即通过定时器控制每个LED点亮和熄灭的时刻,使得它们按照一定的顺序依次发光。
实验步骤:1. 准备材料:LED灯(数量根据需要决定)、电阻(限流电阻,选择合适的阻值)、电路板、导线、面包板或焊接工具等。
2. 连接电路:根据所需的LED数量,设计电路图,按照图上的连线方式将LED 连接到电路板上,注意保持连线的正确性。
3. 添加电阻:根据LED的工作电压和电流需求,计算每个LED对应的限流电阻的阻值,将电阻依次与LED进行串联连接。
4. 供电测试:将电路板连接到电源上,确认电源电压是否符合LED的工作电压要求。
注意检查整个电路的连线是否正确,电阻是否接在了正确位置。
5. 编写程序:使用单片机或其他控制芯片来控制LED的点亮和熄灭。
根据所采用的开发平台和编程语言,编写相应的代码,控制每个LED的状态和时间间隔。
6. 调试程序:将编写好的程序下载到控制芯片中,并连接到电路板上。
通过电脑或其他输入设备控制程序运行,观察LED的点亮和熄灭效果。
根据需要调整程序中每个LED的点亮时间和顺序,使得LED灯看起来像是在流水一样运动。
7. 完善电路:根据实际需求,可以设计并添加其他功能模块,如按键控制、调节亮度等。
总结:流水灯实验是一种常见的电子实验,通过控制LED灯的点亮和熄灭来形成一种连续的流动效果。
实验的原理是利用LED的时分多路复用技术和控制芯片的编程来实现。
实验步骤包括准备材料、连接电路、添加限流电阻、供电测试、编写程序、调试程序和完善电路等。
电子科技大学-数字逻辑课程设计——流水灯的实现

数字逻辑课程设计——流水灯的设计1问题概述:设计一个可以循环移动的流水灯,灯总数为8盏,具体要求如下:1、5亮,其余灭,右移三次后全灭4、8亮,其余灭,左移三次后全灭4、5亮,其余灭,各向两边移三次后全灭1、8亮,其余灭,各向中间移三次后全灭所要求的彩灯电路在某电路板上完成,该电路板能够提供48MHz标准时钟信号,附带有8个共阳的LED管可作为彩灯使用。
2问题分析本装置可以看作一个具有20个状态的无输入、8个输出的Moore型时钟同步状态机,每一个状态对应依次出现的每一种亮灯情况,用5位状态编码表示。
这里构造一个模20的计数器来循环产生这20种状态。
同时对于输入的48MHz的标准时钟信号,需要将其转化为1HZ的信号,此处同样用计数器来实现分频功能。
8个输出分别控制LED的发光情况。
这里使用5-32的译码器实现输出函数的构造。
电路框图如下:这里使用一个5位的状态编码Q4Q3Q2Q1Q0,表示20个状态。
8位的输出函数F7F6F5F4F3F2F1F0分别表示由左至右每一个灯的通断情况。
由于本题中LED灯采用共阳极连接方式,所以当Fn为低电平时,对应的LED灯发光。
本电路状态图如下:本电路的转移/输出表如下:现针对每一部分设计具体电路3设计方案3.11/48MHz分频电路对于48MHz的信号,一秒钟内有4.8*10^7个周期,而所需1Hz信号,每秒只有一个周期。
使输入信号每经过2.4*10^7个周期,输出信号翻转一次方向,便可获得所需的1Hz信号。
可以构造一个模4.8*10^7的计数器用于计数,并使计数器输出的最高位在一秒之内恰好变化一次,且占空比为50%,故采用7片74x163进行级联。
计数范围为:0110 1001 00011100 1010 0000 0000-1001 0110 1110 0011 0101 1111 1111。
这样恰好可以保证最高位输出的信号为1Hz 。
这里采用置位法,电路如下。
流水灯的实验原理及步骤

流水灯的实验原理及步骤流水灯是一种用于电子电路实验的简单电路。
它由一组LED灯组成,灯珠逐个点亮,呈现出流水的效果。
以下是流水灯的实验原理及步骤:实验原理:流水灯的实验原理是借助555计时器和数个逻辑门实现的。
555计时器产生的方波信号通过逻辑门的组合,控制LED灯的亮灭顺序,从而实现流水的效果。
实验步骤:1.准备材料和工具:一块实验面板、555计时器、几个逻辑门(如74LS04等)、一组LED灯、几个电阻、导线等。
2.将555计时器、逻辑门、LED灯等器件按照连线图连接在实验面板上。
具体连接方式如下:- 将VCC引脚连接到正电源。
- 将GND引脚连接到地线。
- 连接一个电阻和电容来设置555计时器的频率。
电阻连接到引脚7(DISCHARGE)和引脚8(VCC)之间,电容连接到引脚6(THRESHOLD)和引脚2(TRIGGER)之间。
同时将电容的另一端连接到地线。
- 将555计时器的引脚3(OUTPUT)连接到逻辑门1的一个输入端,再将逻辑门1的输出端连接到一个电阻,电阻的另一端连接到LED灯1的正极。
LED 灯1的负极连接到地线。
- 将LED灯1的负极连接到逻辑门2的一个输入端,再将逻辑门2的输出端连接到一个电阻,电阻的另一端连接到LED灯2的正极。
LED灯2的负极连接到地线。
- 依此类推,将其他LED灯也连接起来,形成流水灯的效果。
3.检查连接是否正确,确保没有短路或接触不良的地方。
4.将正电源接入电路,调整电阻和电容的值,以控制流水灯的速度和亮度。
5.观察LED灯的亮灭顺序,若亮灯顺序与预期不符,可能需要调整逻辑门的输入连接方式。
6.实验完成后,断开电源,注意安全。
以上是流水灯的实验原理及步骤,希望对你有帮助。
基于单片机智能温控流水灯

基于单片机智能温控流水灯随着科技的不断发展,智能家居产品逐渐走进人们的生活,提高了生活的便利性和舒适度。
其中,基于单片机的智能温控流水灯是一种颇受欢迎的家居产品,它具有智能控制、节能环保等特点,在节约能源的同时还能提升家居氛围。
本文将介绍基于单片机的智能温控流水灯的设计原理和实现方法。
一、设计原理基于单片机的智能温控流水灯主要由单片机、温度传感器、流水灯灯带等部件组成。
其设计原理如下:1. 温度检测:通过温度传感器实时检测室内温度,并将数据传输给单片机进行处理。
2. 温度控制:单片机根据设定的温度阈值,自动控制流水灯的亮度和颜色。
当室内温度过高时,流水灯调整为低亮度和凉色调,以降低室内温度;反之,当室内温度过低时,流水灯调整为高亮度和暖色调,以提高室内温度。
3. 灯光效果:流水灯采用流水般变换的灯效,通过单片机控制灯珠的亮灭和颜色变化,实现灯光流动的效果,为家居环境增添情调和舒适感。
二、实现方法基于单片机的智能温控流水灯的实现方法如下:1. 硬件设计:选择合适的单片机控制芯片,并连接温度传感器、流水灯灯带等硬件部件,搭建硬件系统。
2. 软件编程:编写单片机的程序,实现对温度传感器数据的读取和处理,以及灯光效果的控制。
通过逻辑判断和控制指令,实现温度检测和灯光调节的功能。
3. 装配调试:将硬件系统组装完善,并进行功能调试和性能优化,确保智能温控流水灯的正常工作和稳定性。
三、应用优势基于单片机的智能温控流水灯具有以下优势:1. 智能化控制:通过单片机程序的设计,实现对室内温度的智能检测和控制,提高了灯光的智能化程度。
2. 节能环保:根据实时温度调节灯光亮度和颜色,避免了灯光长时间高亮度造成的能源浪费,节约了能源资源。
3. 美化家居:流水灯的灯效设计独特,能够为家居环境增添美感和舒适度,营造出温馨浪漫的氛围。
综上所述,基于单片机的智能温控流水灯是一种具有智能化控制和节能环保等特点的家居产品,其设计原理和实现方法都相对简单易行。
花式流水灯的设计与实现

电子系统设计创新实验报告题目花式流水灯的设计与实现学生姓名高权黄盼徐传武易孟华学生学号************ 07 14 15专业名称电子信息工程指导教师肖永军2016年11月12 日设计要求:1、实现流水灯从左到右依次:亮-全亮-灭-全灭的过程,如此循环。
2、以发光二极管作为发光器件,用单片机进行控制。
摘要鉴于传统的分立元件以及数字逻辑电路构成的流水灯电路复杂,难以控制,本文采用单片机控制系统,设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件Proteus仿真及Kill软件组成的以AT89C51为核心,辅以必要的电路,设计了一款简易的花式流水灯电路。
作品通过软件编程和仿真,利用单片机的自动控制功能,使LED灯从左到右依次亮灭、灭亮循环发生,从而验证了电路和程序的正确性。
关键字:AT89C51 单片机流水灯一、系统总体设计系统总体设计框图如图1所示,该系统共由三部分部分组成:时钟电路模块、复位电路模块、AT89C51单片机LED灯电路。
其中主控制器用于系统控制,可以控制电路的开关的功能,AT89C51单片机作为主控原件,流水灯电路由LED灯和驱动电路组成。
图1 系统总体设计框图二、系统硬件设计(1)复位电路任何含有计算机的系统,在启动运行时都需要复位,以便CPU和系统中的其他部件都处于某一确定的初始状态,并从这个状态开始执行工作。
同样,单片机在外界的干扰下出现程序跑飞或者进入死循环的状况时,需要人为低进行复位操作,恢复正常状态。
因此,手动复位是微机子系统的一个基本功能要求。
复位电路如图2所示:图2 复位电路该复位电路由R9,C3和开关SW1组成,当开关SW1断开时,C3两端电压较高,单片机RST端则为高电平,而当开关SW1闭合时,RST 端接地,变为低电平,此时单片机复位。
(2)时钟电路系统的时钟电路如图3所示,此系统的时钟电路设计是采用的内部方式,即利用芯片内部的振荡电路。
流水灯的设计与实现
课程设计彩灯链的设计与实现院(系)名称:西安电子科技大学专业班级:生物医学学号:06788234姓名:司凯超指导老师:罗大伟2011年10月20日目录1、课程意义 (3)1.1 设计任务 (3)1.2设计目的 (3)1.3课程分析 (3)2、总体方案设计 (3)2.1设计要点 (3)2.2 方案总体电路图 (3)2. 3方案模拟仿真 (5)3 、单元模块设计 (6)3.1 单位脉冲电路设计 (6)3.2计数器/译码分配器 (8)3.3显示电路 (9)4 、电路参数设置和元件选择 (10)4.1 电路参数的设置及元件清单 (10)5、主要芯片介绍 (11)5.1 NE555定时器 (11)5.2 4017BD计数器/译码分配器 (12)6、焊接总体实物图 (16)7、心得体会 (17)8、参考文献 (19)1、课程意义1.1 设计任务1)彩灯能够自动循环点亮2)彩灯循环显示且频率快慢可调。
3)该控制电路具有8路以上的输出。
1.2设计目的通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。
1.3课程分析此电路主要由三部分组成,其整体框图如图(一)所示。
振荡电路计数译码驱动电路显示电路图(一)2、总体方案设计2.1设计要点因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。
计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。
在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。
其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。
显示电路主要由发光二极管组成,当4017的输出依次输出高电平时,驱动发光二极管也依次点亮,产生一种流动变化的效果。
2.2 方案总体电路图U11DIS 7O UT3RST 48T HR6CO N5T RI 2G NDVCC555_V IRTUALR11kohmR31kohm50%1kOhm Key = aR2C10.1uFC30.01uF5VVCCO 03O 12O 24O 37~CP113M R15CP014O 410O 51O 65O 76O 89O 911~O5-912U24017BDC21uFR4300ohmLED1LED2LED3LED4LED5LED6LED7LED8LED9LED10彩灯循环控制器U11DIS 7O UT3RST 48T HR6CO N5T RI 2G NDVCC555_V IRTUALR11kohmR21kohm50%1kOhmKey = aR3C10.1uFC20.01uF5VVCCO 03O 12O 24O 37~CP113M R15CP014O 410O 51O 65O 76O 89O 911~O5-912U34017BDC31uFX12.5 VX22.5 VX32.5 VX42.5 VX5 2.5 VX62.5 VX72.5 VX82.5 VX92.5 VX102.5 V彩灯循环控制器(彩灯显示)2. 3方案模拟仿真根据要求进行电路参数设置与选择,以完成实验设计。
基于STC-89C51的流水灯的设计与实现
开放与创新实验设计报告设计题目:基于STC-89C51的流水灯的设计与实现指导老师:设计时间:开放与创新实验任务书一、设计任务设计题目:按键式流水灯设计。
设计内容:本设计是按键式流水灯设计,给出如下需求:整个流水灯系统由按键控制,实现各种状态(4种状态)之间的转换,即按键第一次压下,流水灯进入到第一种状态;按键第二次压下,流水灯进入到第二种状态;以此类推。
二、系统需求基本要求:利用STC-89C51单片机作为系统核心控制部分,用16个发光二极管模拟流水灯的显示部分,自己设计电路和程序完成流水灯设计。
发挥部分:1.使用外部中断作为对按键的控制。
2.用硬件定时器完成流水灯状态转换间的延时,延时误差小于20×10-6s.开放与创新实验_流水灯设计报告流水灯控制系统设计摘要:本系统由单片机系统、数码显现管、LED 显示等部分组成。
系统能够实现以下功能:往南和往北的信号一致,即红灯(绿灯或黄灯)同时亮或同时熄灭。
用两个数码管来显示被点绿亮的指示灯还将点亮多久。
往东和往西方向的信号一致,其工作方式与南北方向一样,也采用两个数码管来倒计时。
当南北方向为绿灯或黄灯时,东西方向的红灯点亮禁止通行;而东西方向为绿灯或黄闪灯时,南北向的红灯点亮禁止通行。
交通信号灯控制方式很多。
本设计采用MSC-51系列单片机STC89C51和可编程并行I/O接口芯片8255,8155为中心器件来设计交通灯控制器,实现了用,8155芯片控制数码显现管,PC口进行段选,PB进行位选,来设置红、绿灯点亮倒计时的功能;由8255芯片控制12个LED 灯来实现红绿黄灯状态,红绿灯循环点亮,倒计时结束后黄灯闪烁警示。
在设计中采用了硬件技术与软件技术相结合的方法,用STC-89C51的定时器T0,设定30秒倒计时时间,然后再利用软件进行技术,从而产生秒信号。
定时器T0用了中断方式,当定时时间到时,在T0断服务程序中实现秒的倒计数,从而控制LED显示器倒计数显示准行或禁行时间。
实验五 流水灯的设计
实验五流水灯的设计一、实验目的1、了解流水灯的工作原理。
二、实验原理及内容实验原理要完成本实验,首先必须了解流水灯的原理。
所谓的流水灯实际上就是由多个LED发光二极管构成的电路,当发光二极管可以依次点亮时,即能呈现流水的效果。
实验内容1、设计能带8个LED发光管发光,并按照要求轮流发光,产生流水灯的流动效果。
2、应具有两种以上不同风格的流动闪亮效果。
比如依次点亮或者依次熄灭。
(选作)3、有起动、停止控制键。
(选作)4、有流动闪亮效果选择设置键。
(选作)5、对所编写的电路进行编译及正确的仿真。
三、实验条件Quartus II实验环境四、实验与仿真顶层文件如下:Cp为时钟信号R为异步清零端。
当r=1时清零。
S[2..0]为输入端。
根据s的不同取值来实现不同的功能。
D[7..0]为预制数Q[7..0]为输出功能表如下VHDL编码如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity traffic isport(clk,enb:in std_logic;ared,agreen,ayellow,bred,bgreen,byellow:buffer std_logic;acounth,acountl,bcounth,bcountl:buffer std_logic_vector(3 downto 0));end traffic;architecture one of traffic isbeginprocess(clk,enb)variable lightstatus:std_logic_vector(5 downto 0);beginif(clk'event and clk='1')thenlightstatus:=ared&agreen&ayellow&bred&bgreen&byellow;if((acounth="0000" and acountl="0000") or(bcounth="0000" and bcountl="0000"))thencase lightstatus iswhen "010100"=>lightstatus:="001100";acountl<="0101";acounth<="0000";bcountl<="0101";bcounth<="0000"; when"001100"=>if(enb='1')thenlightstatus:="100010";acountl<="0000";acounth<="0011";bcountl<="0101";bcounth<="0010";elselightstatus:="010100";acountl<="0101";acounth<="0100";bcountl<="0000";bcounth<="0101"; end if;when "100010"=>lightstatus:="100001";acountl<="0101";acounth<="0000";bcountl<="0101";bcounth<="0000";when"100001"=>lightstatus:="010100";acountl<="0101";acounth<="0100";bcountl<="0000";bcounth<="0101";when others=>lightstatus:="010100";acountl<="0101";acounth<="0100";bcountl<="0000";bcounth<="0101";end case;elseif(acountl="0000")thenacounth<=acounth-1;acountl<="1001";elseacountl<=acountl-1;end if;if(bcountl="0000")thenbcounth<=bcounth-1;bcountl<="1001";elsebcountl<=bcountl-1;end if;end if;end if;ared<=lightstatus(5);agreen<=lightstatus(4);ayellow<=lightstatus(3);bred<=lightstatus(2);bgreen<=lightstatus(1);byellow<=lightstatus(0); end process;end one;仿真:右移:依次点亮灯左移:依次点亮灯循环右移:循环点亮循环左移:循环点亮。
流水灯设计分析报告
流水灯设计分析报告1. 引言流水灯是一种常见的电子模块,通过不同的灯泡按照一定的顺序依次亮起,形成连续的灯光流动效果。
它在很多场景中被广泛应用,如舞台演出、节日装饰等。
本文将对流水灯的设计进行分析,并探讨其实现原理、技术细节和应用。
2. 流水灯的实现原理流水灯的实现原理主要包括两个方面:控制电路和多个灯泡。
2.1 控制电路流水灯的控制电路通常采用微控制器或专用的倒计时芯片。
微控制器是一种集成电路,内部集成了处理器、存储器和各种输入输出接口,通过编程可以实现对流水灯的控制。
倒计时芯片则是一种专用的集成电路,可以预设倒计时时间,到达指定时间后触发输出,用于控制流水灯的灯泡顺序。
2.2 多个灯泡流水灯通常由多个灯泡组成,每个灯泡连接在控制电路的输出端口上。
灯泡可以是普通的白炽灯、LED灯或其他类型的灯泡。
它们被依次连接在一起,当控制电路输出信号时,对应的灯泡就会亮起。
3. 技术细节3.1 控制电路选择流水灯的控制电路可以选择合适的微控制器或倒计时芯片。
选择控制电路时需要考虑以下因素:- 所需的功能和特性:不同的控制电路具有不同的功能和特性,如是否支持编程、倒计时的精度等。
- 电源要求:控制电路需要根据实际情况选择合适的电源电压和电流。
- 成本和可靠性:控制电路的成本和可靠性也是选择的重要考虑因素。
3.2 灯泡选择选择流水灯的灯泡时,可以根据实际需求选择不同类型的灯泡,如普通白炽灯、LED灯等。
同时,还需考虑以下因素:- 电源要求:不同类型的灯泡需要不同的电源电压和电流驱动。
- 亮度和颜色:灯泡的亮度和颜色直接影响到流水灯的视觉效果,根据实际需求选择合适的亮度和颜色。
3.3 灯泡连接方式流水灯的灯泡需要按照一定的顺序连接起来,形成流动的效果。
常见的连接方式有串联和并联两种:- 串联连接:将每个灯泡的正极和负极依次连接起来,形成一条顺序的电路。
这种连接方式需要考虑电源电压和电流跟灯泡的匹配关系。
- 并联连接:将每个灯泡的正极和负极分别连接到电源电路上。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计彩灯链的设计与实现院(系)名称:西安电子科技大学专业班级:生物医学学号:06788234姓名:孙波波指导老师:雷思孝2010年10月20日目录1、课程意义 (3)1.1 设计任务 (3)1.2设计目的 (3)1.3课程分析 (3)2、总体方案设计 (3)2.1设计要点 (3)2.2 方案总体电路图 (3)2. 3方案模拟仿真 (5)3 、单元模块设计 (6)3.1 单位脉冲电路设计 (6)3.2计数器/译码分配器 (8)3.3显示电路 (9)4 、电路参数设置和元件选择 (10)4.1 电路参数的设置及元件清单 (10)5、主要芯片介绍 (11)5.1 NE555定时器 (11)5.2 4017BD计数器/译码分配器 (12)6、焊接总体实物图 (16)7、心得体会 (17)8、参考文献 (19)1、课程意义1.1 设计任务1)彩灯能够自动循环点亮2)彩灯循环显示且频率快慢可调。
3)该控制电路具有8路以上的输出。
1.2设计目的通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。
1.3课程分析此电路主要由三部分组成,其整体框图如图(一)所示。
振荡电路计数译码驱动电路显示电路图(一)2、总体方案设计2.1设计要点因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。
计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。
在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。
其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。
显示电路主要由发光二极管组成,当4017的输出依次输出高电平时,驱动发光二极管也依次点亮,产生一种流动变化的效果。
2.2 方案总体电路图U11DIS 7O UT3RST 48T HR6CO N5T RI 2G NDVCC555_V IRTUALR11kohmR31kohm50%1kOhm Key = aR2C10.1uFC30.01uF5VVCCO 03O 12O 24O 37~CP113M R15CP014O 410O 51O 65O 76O 89O 911~O5-912U24017BDC21uFR4300ohmLED1LED2LED3LED4LED5LED6LED7LED8LED9LED10彩灯循环控制器U11DIS 7O UT3RST 48T HR6CO N5T RI 2G NDVCC555_V IRTUALR11kohmR21kohm50%1kOhmKey = aR3C10.1uFC20.01uF5VVCCO 03O 12O 24O 37~CP113M R15CP014O 410O 51O 65O 76O 89O 911~O5-912U34017BDC31uFX12.5 VX22.5 VX32.5 VX42.5 VX5 2.5 VX62.5 VX72.5 VX82.5 VX92.5 VX102.5 V彩灯循环控制器(彩灯显示)2. 3方案模拟仿真根据要求进行电路参数设置与选择,以完成实验设计。
3 、单元模块设计3.1 单位脉冲电路设计555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。
该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。
它的内部电压标准使用了三个5K的电阻,故取名555电路。
其电路类型有双极型和CMOS型两大类,两者的工作原理和结构相似。
几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。
555和7555是单定时器,556和7556是双定时器。
双极型的电压是+5V~+15V,输出的最大电流可达200mA,CMOS型的电源电压是+3V~+18V。
555电路的内部电路方框图如图1所示。
它含有两个电压比较器,一个基本RS 触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为和。
A1和A2的输出端控制RS触发器状态和放电管开关状态。
当输入信号输入并超过时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。
是复位端,当其为0时,555输出低电平。
平时该端开路或接VCC。
Vc是控制电压端(5脚),平时输出作为比较器A1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。
T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路。
555构成多谐振荡器如图2,由555定时器和外接元件R1、R2、C构成多谐振荡器,脚2与脚6直接相连。
电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端放电,使电路产生振荡。
电容C在和之间充电和放电,从而在输出端得到一系列的矩形波,对应的波形如图3所示。
图8-4 555构成多谐振荡器图8-5 多谐振荡器的波形图输出信号的时间参数是: T==0.7(R1+R2)C=0.7R2C其中,为VC由上升到所需的时间,为电容C放电所需的时间。
555电路要求R1与R2均应不小于1KΩ,但两者之和应不大于3.3MΩ。
外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。
3.2计数器/译码分配器计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。
在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。
其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。
4017有3个输入端(MR、CP0和~CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。
CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。
设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。
4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。
每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。
由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。
4017的管脚仿真图如图(三)所示。
其测试电路及波形如图(四)图(五)所示。
注意在用multisim仿真软件时,含有CMOS时,电源作VDD。
O 03O 12O 24O 37~CP113M R15CP014O 410O 51O 65O 76O 89O 911~O 5-912U14017BD图(三)4017的管脚仿真图O 03O 12O 24O 37~CP113M R15CP014O 410O 51O 65O 76O 89O 911~O 5-912U14017BD图(四)4017的功能测试电路图V11000Hz 5VQC T1FX LA1图(五)3.3显示电路主要由发光二极管组成,当4017的输出依次输出高电平时,驱动发光二极管也依次点亮,产生一种流动变化的效果。
发光二极管要求驱动电压小一点,一般在1.66V左右,电流在5mA左右。
其中本设计所用的LED是红色和绿色,其工作电压分别为1.8~2.4、3.0~3.6。
彩灯的循环速度由脉冲源频率决定。
R、C构成微分电路,用于上电复位。
如有兴趣也可以把发光二极管换成颜色的彩灯,这样循环直民来就更好看了。
4 、电路参数设置和元件选择4.1 电路参数的设置及元件清单电子元件清单序号名称规格数量单位1 定时器NE555 1 个2 计数器/译码分CD4017BD 1 个配器3 电源5V 1 个4 可变电阻器1Kohm 1 个LED 52 个5 红,绿发光二级管6 电阻40R 1 个7 电阻1Kohm 2 个8 电容20uF 2 个9 电容1uF 2 个5、主要芯片介绍5.1 NE555定时器其工作原理:它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器C1同相比较端和低电平比较器C2的反相输入端的参考电平为Vcc 32和Vcc 31。
C1和C2的输出端控制RS 触发器状态和放电管开关状态。
当输入信号输入并超过Vcc 32时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于Vcc 31时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。
D R 是复位端,当其为0时,555输出低电平。
平时该端开路或接Vcc 。
Vco 是控制电压端(5脚),平时输出Vcc 32作为比较器A1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01F μ的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。
T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电电路. 555电路的引脚功能——TR 触发 TH 阈值 —R 复位DIS 放电端 OUT 输出 Vcc 31> >Vcc 32H导通LVcc 31> Vcc 32< H 原状态Vcc 31< × H 截止 H××L 导通 L5.2 4017BD 计数器/译码分配器数字电路CD4017是十进制计数/分频器,它的内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是Q0、Q1、Q2、…、Q9依次出现与时钟同步的高电平,宽度等于时钟周期。
CD4017有10个输出端(Q0~Q9)和1个进位输出端~Q5-9。
每输入10个计数脉冲,~Q5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。
CD4017有3个输(MR、CP0和~CP1),MR为清零端,当在MR端上加高电平或正脉冲时其输出Q0为高电平,其余输出端(Q1~Q9)均为低电平。