数字逻辑教学大纲

数字逻辑教学大纲
数字逻辑教学大纲

《数字逻辑》

教学大纲

哈尔滨师范大学

计算机科学与信息工程学院

《数字逻辑》

一、课程设置的有关说明

1.数字逻辑课程是计算机科学与技术专业重要的必修课。

2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。

3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。

本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。

4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。

二、具体教学内容

第一章基本知识(4学时)

1.教学目的和教学基本要求:

掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。

2.内容提要:

第一节概述

第二节数制及其转换

第三节带符号二进制数的代码表示

第四节几种常用的编码

3.复习思考题:

(1)二、八、十六进制数的转换

(2)8421、2421、余三码的组成

(3)格雷码和二进制转换

第二章逻辑代数基础(12学时)

1.教学目的和教学基本要求:

掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。

2.内容提要:

第一节逻辑代数的基本概念

第二节逻辑代数的基本定理和规则

第三节逻辑函数表达式的形式与变换

第四节逻辑函数化简

3.复习思考题:

(1)利用逻辑代数基本公式对逻辑函数化简。

(2)化简逻辑函数为最小项之和形式。

(3)利用卡诺图法化简逻辑函数公式。

第三章集成门电路与触发器(12学时)

1.教学目的和教学基本要求:

了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

了解CMOS反向器的动特性。其他CMOS门(与非门、或非门等)的工作原理。掌握触发器的定义以及基本RS触发器、同步RS触发器、主从触发器、边沿触发器的逻辑功能、描述方法与动作特点;掌握触发器逻辑功能与电路结构的区别。

2.内容提要:

第一节数字集成电路的分类

第二节半导体器件的开关特性

第三节逻辑门电路

第四节触发器

3.复习思考题:

(1)比较TTL和CMOS电路的优缺点。

(2)触发器的常见电路结构及动作特点。

(3)分别写出RS、JK、T、D触发器的特性表及特性方程。

(4)画出指定触发器的输出信号。

第四章组合逻辑电路(6学时)

1.教学目的和教学基本要求:

掌握组合逻辑电路的设计与分析方法;掌握常用组合逻辑电路;了解组合电路中的竞争与冒险现象、产生原因及消除方法。

2.内容提要:

第一节组合逻辑电路分析

第二节组合逻辑电路设计

第三节组合逻辑电路的险象

3.复习思考题:

(1)根据给定电路逻辑功能写出输出的逻辑函数公式。

(2)根据给定电路写出输出函数Z的逻辑函数式。

第五章同步时序逻辑电路(4学时)

1.教学目的和教学基本要求:

掌握时序逻辑电路的定义及同步时序电路的分析与设计方法;深刻理解时序电路各方程组(输出方程组、激励方程组、状态方程组),状

态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用;

简单介绍异步时序电路的概念。

2.内容提要:

第一节时序逻辑电路概述

第二节同步时序逻辑电路分析

第三节同步时序逻辑电路设计

3.复习思考题:

(1)比较组合逻辑电路和时序逻辑电路的异同。

(2)分析、设计同步时序逻辑电路。

第六章中规模通用集成电路及其应用(4学时)

1.教学目的和教学基本要求:

掌握常用中规模组合逻辑电路;掌握常用中规模时序逻辑电路;掌握电路之间的转换。

2.内容提要:

第一节常用中规模组合逻辑电路

第二节常用中规模时序逻辑电路

3.复习思考题:

(1)常用组合逻辑单元电路:编码器、译码器、数据选择器与分配器、全加器、加法器、数值比较器的工作原理。

三、学时分配表

四、阅读参考书目:

1、《数字逻辑》(第四版)欧阳星明华中科技大学出版社。

2、《数字电子技术基础》(第四版)阎石高等教育出版社。

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字系统与逻辑设计课程教学大纲

《数字系统与逻辑设计》课程教学大纲 课程名称:数字系统与逻辑设计课程代码:TELE1005 英文名称:Digital System and Logic Design 课程性质:专业必修课程学分/学时:3.5/72 开课学期:第3学期 适用专业:微电子科学与工程、电子科学与技术等 先修课程:电路分析 后续课程: 开课单位:电子信息学院课程负责人:黄旭 大纲执笔人:黄旭大纲审核人:X 一、课程性质和教学目标(在人才培养中的地位与性质及主要内容,指明学生需掌握知识与能力及其应达到的水平) 课程性质:本课程是通信工程、信息工程、电子信息工程等电子信息类专业的一门重要专业基础课,是通信工程专业的必修主干课。 教学目标:本课程主要讲授数字逻辑的基本知识及数字逻辑电路的分析方法和设计方法。通过理论教学与实验教学相结合,使学生能建立数字系统完整的总体概念,掌握数字逻辑电路的基本概念、基本分析方法和设计方法以及若干典型的中、小规模集成电路的功能及应用,具备一定的数字电路分析和设计能力,培养学生分析问题和解决问题的能力,为后续课程打下理论和技术基础。本课程的具体教学目标如下: 1、掌握逻辑代数和数字逻辑电路的基础知识,能将其用于实际工程问题的分析。【1.4】 2、具备对数字逻辑器件的特性和功能进行分析的能力,能够对组合逻辑电路和时序逻 辑电路进行描述和分析,能够分析典型脉冲电路、半导体存储器以及数模和模数转换电路的结构和原理,并针对实际工程问题和应用对象进行器件和参数的选择。【2.2】 3、具备对数字逻辑电路进行初步设计的能力,能运用基本原理和方法,根据设计要求完 成数字逻辑电路(组合逻辑电路、时序逻辑电路)的设计。【3.2】 4、通过实验教学,能够对数字逻辑电路的相关知识和方法进行研究与实验验证。【3.2】 二、课程目标与毕业要求的对应关系(明确本课程知识与能力重点符合标准哪几条毕业要求指标点)

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

《数字逻辑》课程教学活动大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。

三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑

五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述 1.2数制及其转换 1.3二-十进制代码(BCD代码) 1.4算术运算与逻辑运算 第2章逻辑函数及其简化(支撑课程目标1、2) 重点内容:逻辑代数的各种表达形式,逻辑代数的三个规则和常用公式,逻辑代数的化简方法,卡诺图法。 难点内容:简单逻辑命题建立逻辑函数的方法。

数字逻辑基础作业及详细答案

第一章 数字逻辑基础 作业及参考答案 P43 1-7 列出下列问题的真值表,并写出逻辑函数表达式 (1)3个输入信号A 、B 、C ,如果3个输入信号都为1或其中两个信号为0,输出信号F 为1,其余情况下输出信号F 为0 。 (2)4个输入信号A 、B 、C 、D ,如果4个输入信号出现偶数个0时,输出信号F 为1,其余情况下,输出信号F 为0. (1)解:根据题意列出真值表如下:(2)解:根据题意列出真值表如下: ABC C B A C B A C B A F +++= ABCD D C AB D C B A D C B A D BC A D C B A D C AB D C B A F +++++++= 1-8 写出下列函数的反函数表达式和对偶函数表达式 解:(1)C AB F += C B A F ?+=)( C B A F ?+=)(' (2)C B A F +⊕= C B A F +⊕= C B A B A F ?+?+=)()(' (3)E BD AC D B A F )()(+++= ])()[()]([E D B C A D B A F ++?+?++= ])()[()('E D B C A BD A F ++?+?+= (4) CD A C B A B A F ++=)( )(CD A C B A B A F ++= )()('D C A C B A B A F ++?+++=

1-9 证明下列等式 (1)))(())()((C A B A C B C A B A ++=+++ 证明:))(())()((C B BC B A AC C B C A B A +++=+++ BC BC A B A AC ABC ++++=BC B A AC ++=))((C A B A ++= 证毕。 (2)E CD A E D C CD A ABC A ++=++++)( 证明:E D C CD A A E D C CD A ABC A )()(+++=++++ E D C A E D C D C A E D C CD A +++=++++=+++=)()( E CD A ++= 证毕。 (3)BC A BC D C A B A C A +=+++ 证明:BC B C A BC B A C A BC D C A B A C A ++=++=+++)( BC A BC BC A +=+=)( 证毕。 (4)D C D C B A D AC D C B D C A ⊕=+++⊕)( 证明:D C A D AC D C B D C A D C B A D AC D C B D C A +++⊕=+++⊕)()( )()()()(D C D C B D C D C A D C B D C A ⊕=+⊕=⊕++⊕= 证毕。 1-10 画出实现逻辑表达式BD E CD AB F ++=)(的逻辑电路图。 解:BD E CD AB F ++=)(BD CDE ABE ++=

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字电路(第二版)贾立新1数字逻辑基础习题解答

1数字逻辑基础习题解答 1 自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据的容器。

相关文档
最新文档