STM32流水灯说明书

STM32流水灯说明书
STM32流水灯说明书

流水灯说明书

一:原理图

流水灯电路图

二:工作原理

电路中有L0,L1,L2,L3,L4,L5,L6,L7共八个发光二极管,当引脚LED_SEL输入为1,对于A、B、C、D、E、F、G、H引脚,只要输入为1,则点亮相连接的发光二极管。

A~H引脚连接STM32F108VB芯片的PE8~PE15,程序初始化时,对其进行初始设置。引脚LED_SEL为1时,发光二极管才工作,否则右边的数码管工作。注意,LED_SEL连接于PB3,该引脚具有复用功能,在默认状态下,该引脚的IO不可用,需对AFIO_MAPR寄存器进行设置,设置其为IO可用。

寄存器的具体说明可参考《STM32中文参考资料》。

三:实验现象及操作

对于给出的流水灯案例,下载HEX文件后,在开发板上可观察到L0~L7从右至左依次点亮,间隔300ms。当全部点亮八个发光二极管后,八个发光二极管同时熄灭,间隔300ms 后,发光二极管再次从右至左依次点亮。如此反复循环。

无其他操作。

花样流水灯实验报告

黄淮学院信息工程学院 单片机原理及应用课程设计性实验报告

五、硬件电路设计 根据设计任务,首先进行系统硬件的设计。其硬件原理图由LED显示电路和单片机最小系统组成,如图所示,其中包括时钟电路采用部时钟方式,复位电路采用上电自动复位。由于单片机的I/O口的高电平驱动能力只有微安级,而灌电流可以达到3毫安以上,因此采用低电平驱动。P1、P2、P3分别控制8个led灯。 六、软件程序设计 1、软件设计思路 如果通过上图所示电路图完成实验要求,通过数组,分别同时控P0、P1、P2分别控制8个led灯,从而协调控制24个灯实现花样流水灯效果。 开始 编写数组 主循环 逐个点亮 24灯同时闪烁 逐个熄灭

P3=table1[i]; delayms(500); } shan();//全部闪烁 for(i=0;i<8;i++)//逐个熄灭{ P3=table2[i]; delayms(500); } for(i=0;i<8;i++) { P1=table3[i]; delayms(500); } for(i=0;i<8;i++) { P0=table2[i]; delayms(500); } } } void delayms (uintt) { uint x,y; for(x=t; x>0;x--) for(y=50;y>0;y--); } 七、软硬件仿真调试分析 1、仿真调试结果

图片 1 逐个点亮图片 2 24灯闪烁 图片 3 逐个熄灭 2、性能测试及结果分析 通过仿真结果发现通过上述系统可以实现实验要求,24个灯逐个点亮,24个灯全亮后,24个灯一起闪烁,闪烁5次后,然后24个灯逐个熄灭。由此证明系统满足实验要求。 八、项目总结 在本次花样流水灯试验中,使用循环程序、数组语句实现了实验要求,设计过程中遇到了很多的问题,但经过努力,最终设计出了合理的解决方案。通过此次实验,对多个led灯的控制能力进一步得到提升。 九、项目设计报告成绩 实验报告成绩: 指导教师签字: 年月日

单片机课程设计报告--心形流水灯

井冈山大学 机电工程学院 单片机 课程设计报告 课程名称:单片机 设计题目:心形流水灯 姓名:玉红 专业:生物医学工程 班级:11级医工本一班 学号:110615017 指导教师:王佑湖 2013年11月27日

目录 1引言 (2) 1.1设计任务 (2) 1.2设计要求……………………………………………… ..2 2 课题综述 (2) 2.1课题的来源 (2) 2.2面对的问题 (2) 3 系统分析 (2) 3.1 STC89C52单片机引脚图及引脚功能介绍 (2) 4 系统设计 (4) 4.1硬件设计 (4) 4.1.1硬件框图 (4) 4.1.2硬件详细设计 (5) 4.2 软件设计 (5) 4.3 硬件原理图 (6) 4.4 元件清单 (6) 4.5 硬件焊接

图 (6) 4.6 代码编写 (7) 5心得体会 (7) 6致 (8) 参考文献 (8) 1 引言 单片机课程设计主要是为了让我们增进对STC89C51单片机电路 的感性认识,加深对理论方面的理解。了解软硬件的有关知识,并掌握软硬件设计过程、方法及实现,为以后设计和实现应用系统打下良好基础。另外,通过简单课题的设计练习,使我们了解必须提交的各项工程文件,达到巩固、充实和综合运用所学知识解决实际问题的目 的。 1.1设计任务 设计一个单片机控制的流水灯系统 1.2设计要求 (1)32个LED灯; (2)可实现多种的亮灯(如左循环,右循环,间隔闪,90度交叉闪等)。 2 课题综述

2.1 课题的来源 当今社会,这种由单片机芯片控制各种硬件工作的技术也日益成熟,并普及在交通、化工、机械等各个领域。而流水灯这项技术在生活中的应用更是广泛,较为贴近生活。而流水灯控制的设计所需要的知识也正好吻合了我们本学期对于单片机这门课程的学习,所以设计流水灯控制的这个课题让我们对知识的学习和巩固都有了进一步的加深。 2.2 面对的问题 这次课程设计是通过STC89C52位单片机实现。但面对的问题却是两方面的:一个是软件的设计,也就是实现流水灯控制功能的程序编辑;另一个是硬件的设计,需要我们自己连接、焊接电路板。而更为严峻的就是设计的最后还要将软硬件相结合。 3 系统分析 3.1 STC 89C52单片机引脚图及引脚功能介绍 本次设计的目的在于加深STC89C52单片机的理解,首先来简单认识一下,它的引脚如图3-1所示: 图3.1 STC89C52

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

电子课程设计—电子流水灯设计报告

《电子技术》 课程设计报告 设计题目:电子流水灯电路设计与制作

电子流水灯电路设计与制作报告 一、设计目的 1.能够全面的巩固和应用“电子技术”课程中所学的基础理论和基本方法,并初步掌握小型数字系统设计的基本方法。 2.能够合理、灵活地应用各种标准集成电路(SSI、MSI、LSI等)器件实现规定的数字系统。 3.培养独立思考、独立准备资料、独立设计规定功能的数字系统能力。 4.培养独立进行实验,包括电路布局、安装、调试和排除故障的能力。 5.培养书写综合设计实验报告的能力。 二、设计任务 用中小规模集成电路设计并制作一个能实现8个彩灯正序或反序按1秒依次点亮的电路: 1.由晶振电路或555电路产生1HZ标准秒脉冲信号,作为电路的CP。 2.可逆的顺序脉冲发生电路。 3.显示驱动电路 4.彩灯。 5.电源。 三、设计方案

2、单元电路设计 ①秒脉冲发生电路 由555电路实现秒脉冲,f=1HZ。 ②可逆的顺序脉冲发生电路 可用74LS1191实现,5号引脚接拨动开关,拨动开关的2个端分别接高电平(接5V电源)和低电平(接地),当开关拨到高电平时,进行减计数,当开关拨到低电平时,进行加计数,这样来实现可逆顺序脉冲发生电路 ③显示驱动电路 可由74ls138实现译码,来控制发光2极管的发光情况 74LS138真值表

R3-R10电阻起到保护发光二极管的作用。 ④电源电路 将12V电压整流成5V。 3、整机电路图

555集成电路各引脚名称:1地GND,2触发,3输出,4复位,5控制电压,6门限(阈值)7放电,8电源电压VCC。 74ls191各引脚名称:1-3并行数据输入端,2-3输出端,6-7输出端,5加减计数方式控制端,11电源,4地GND,14秒脉冲输入端,12计数控制端,13时钟输出端 74ls138各引脚名称:1-3译码地址输入端,7-15译码输出端,16电源,8地GND,4-5选通端(低电平有效),6选通端(高电平有效) 四、主要元器件介绍 1.通用实验底板 2.直流稳压电源(5V) 3.集成电路:555、74LS191、74LS138 4.电容:47uF/16V,0.01uF/16V 5.电阻:10k,1k 6.数显:发光二极管 7.开关:波动开关 五、焊接与调试 1、元器件布局图 2、焊接步骤

流水灯控制实验报告及程序

实验三流水灯控制实验 姓名专业通信工程学号成绩 一、实验目的 1.掌握Keil C51 软件与protues软件联合仿真调试的方法; 2.掌握如何使用程序与查表等方法实现流水效果; 3.掌握按键去抖原理及处理方法。 二、实验仪器与设备 1. 微机1台 2. Keil C51集成开发环境 3. Proteus仿真软件 三、实验内容 1.用Proteus设计一流水灯控制电路。利用P1口控制8个发光二级管L1—L8。P3.3口接一按 键K1。参考电路如下图所示。其中74LS240为八反响三态缓冲器/线驱动器。 2.用中断或查询方式编写程序,每按动一次K1键,演示不同的流水效果。若用KEY表示按键的 次数,则其对应的流水效果如下: ① KEY=0: L1-L8全亮; ② KEY=1: L1-L8先全灭,然后自右向左单管点亮,如此循环; ③ KEY=2: L1-L8先全灭,然后自右向左依次点亮,如此循环; ④ KEY=3: L1-L8先全亮,然后自左向右依次熄灭,如此循环; ⑤ KEY=4: L1-L8先全灭,然后整体闪烁,如此循环; ⑥ KEY=5:自行设计效果。 以上移位及闪烁时间间隔均设置为0.3秒,按动5次按键后,再按键时,流水效果从头开始循环。 四、实验原理 1.按键去抖原理:通常按键所用的开关为机械弹性开关,当机械触点断开、闭合时,电压信号 波形如下图所示。由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定的接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动。抖动时间的长短由按键的机械特性决定,一般为5~10ms。按键抖动会引起一次按键被误读多次。为了确保CPU对键的一次闭合仅做一次处理,必须去除按键抖动。在键闭合稳定时,读取键的状态,并且必须判别;在键释放稳定后,再作处理。按键的抖动,可用硬件或软件两种方法消除。常用软件方法去抖动,即检测到按键闭合后执行一个5~10ms延时程序;让前沿抖动消失后,再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有按键按下。当检测到按键释放后,也要给5~10ms的延时,待后延抖动消失后,才能转入该键的处理程序。 2.74LS240:八反相三态缓冲器/线驱动器 引脚排列图:

单片机流水灯课程设计报告书

基于AT89C51单片机的流水灯 1 引言 1.1 课题简介 单片机全称叫单片微型计算机(Single Chip Microcomputer),是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个畴:在智能仪器仪表上的应用,例如精密的测量设备;在工业控制中的应用,用单片机可以构成形式多样的控制系统、数据采集系统,例如工厂流水线的智能化管理,电梯智能化控制、各种报警系统,与计算机联网构成二级控制系统等;在家用电器中的应用可从手机,机、小型程控交换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见的移动,集群移动通信,无线电对讲机等。单片机在医用设备领域中的应用,例如医用呼吸机,各种分析仪,监护仪,超声诊断设备及病床呼叫系统等;在各种大型电器中的模块化应用,如音乐集成单片机,看似简单的功能,微缩在纯电子芯片中(有别于磁带机的原理),就需要复杂的类似于计算机的原理。 本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。本系统就是充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 1.2 设计目的 (1) 学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力。

vhdl流水灯课程设计报告

院系: 姓名: 学号: 课程设计名称: 指导老师: 时间:

摘要 VHDL的特点 应用VHDL进行系统设计,有以下几方面的特点。 (一)功能强大 VHDL具有功能强大的语言结构。它可以用明确的代码描述复杂的控制逻辑设计。并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。VHDL是一种设计、仿真和综合的标准硬件描述语言。 (二)可移植性 VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。此外,通过更换库再重新综合很容易移植为ASIC设计。 (三)独立性 VHDL的硬件描述与具体的工艺技术和硬件结构无关。设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。 (四)可操作性 由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。 (五)灵活性 VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。使其在任何大系统的设计中,随时可对设计进行仿真模拟。所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。VHDL的设计结构 VHDL描述数字电路系统设计的行为、功能、输入和输出。它在语法上与现代编程语言相似,但包含了许多与硬件有特殊关系的结构。 VHDL将一个设计称为一个实体Entity(元件、电路或者系统),并且将它分成外部的可见部分(实体名、连接)和内部的隐藏部分(实体算法、实现)。当定义了一个设计的实体之后,其他实体可以利用该实体,也可以开发一个实体库。所以,内部和外部的概念对系统设计的VHDL是十分重要的。

左右来回循环的流水灯实验报告

青 岛 科 技 大 学 微机原理与接口技术综合课程设计(报告) 题 目 __________________________________ 指导教师__________________________ 学生姓名__________________________ 学生学号__________________________ _______________________________院(部)____________________________专业________________ 班 ______年 ___月 ___日 直流电机控制综合实验 周艳平 宋雪英 01 信息科学技术学院 计算机科学与技术0961 2012 12 27

摘要 (2) 1、单片机概述 (2) 2、仿真软件介绍 (2) 3、需求分析 (2) 一、课程设计目的 (3) 二、课程设计要求 (3) 三、实验内容 (3) 1、设计任务与要求 (3) 2、系统分析 (3) 1).硬件电路设计(画出原理图、接线图) (4) 2)软件框图 (5) 3、用keil建项目流程 (7) 4、程序清单 (7) 4、系统调试 (9) 四、设计总结(结论) (10)

摘要 近年来,随着电子技术和微型计算机的发展,单片机的档次不断提高,起应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到广泛的应用、成为现代电子系统中最重要的智能化的核心部件。而AT89C51就是其中一种,它是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMO8位微处理器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。本课程设计介绍一种LED小灯控制系统的设计方法,以单片机作为主控核心,与按键、排阻、电阻、电容等较少的辅助硬件电路相结合,利用软件实现对LED灯进行控制。能够通过按键控制8个LED小灯从左到右依次点亮。 关键字:单片机、LED流水灯 1、单片机概述 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微 型计算机简称单片机,特别适用于控制领域,故又称为微控制器。 通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处 理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合, 便可成为一个单片机控制系统。 单片机经过1、2、3、3 代的发展,目前单片机正朝着高性能和多品种方向发展,它 们的CPU 功能在增强,内部资源在增多,引角的多功能化,以及低电压底功耗。 2、仿真软件介绍 (1).Keil uv3 运行Keil uv3 新建工程:菜单“Preject->New Preject”,保存为*.uv2,选择芯片型号,copy否 新建文件:菜单“File->New”,保存为*.c,编写程序 将源文件添加到工程:在左侧project workspace的source group1点右键选择addfile加入*.c 设置工程:点菜单栏上的,选Target,设晶振值;选Output,点create Hex file以生成少些文件;选Debug,选择软件仿真(simulator)或硬件仿真(Keil Monitor)方式。 编译链接:点菜单栏上的进行编译,或点菜单栏上的进行编译链接,或点菜单栏上的进行重新编译链接,或点菜单栏上的停止编译。 编译链接后生成*.hex文件,可烧写到单片机。 (2).Proteus 使用Proteus仿真 点击单片机,在Program Files处选择*.hex文件,OK,进行仿真 RESPACK--8 排阻,就是好多电阻连载一起,有一个公共端,1端为公共端接VCC(上拉)或地(下拉) 一般接在51单片机P0口,因P0口内没有上拉电阻,不能输出高电平,所以要接上拉电阻。 3、需求分析

流水灯课程设计汇本报告

目录 一 .设计要求 二 . 设计思路 三 . 单元电路设计 四 . 所需设备与器件 五 . 整机电路 六 . 系统工作原理与分析 七 . 参考文献

八 . 心得体会 [容摘要] 在日常生活中,我们经常看到各种各样的霓虹灯,其中当然包括本电路设计的智能流水灯,这种灯主要利用数字电子集成芯片,尤其是利用计数器,或非门组合芯片,触发器,本设计把CD4510可预置可逆计数器的数字信号传给CD4067十六选一芯片,而本设计只利用CD4067八个脚,实现八选一功能,使I0X(X 取0到7的数)在部把高电平传给I0comx,I0comx把高电平传给4001两输入或非门的U3B,I0X把高电平通过U3A传给U3B,利用I0comx,I0X 的数字信号到达U3B的时间差,在CD4001的输出端产生上升延的时钟脉冲信号,CD4017B1十进制计数器接受与数字对应的高电平,0到9脚依次产生高电平,而0到9十个流水灯依次与CD4017B1的0到9脚连接,所以0到9十个流水灯从0到9依次点亮,依次熄灭,即正转,当9脚出现高电平即9灯点亮时,产生的上升延传给CD4510可预置可逆计数器,使之计数,实现下一轮的正转,当灯正转九圈之后,CD4510的Q4变为高电平,通过SR锁存器,利用其翻转功能,使CD4510由加法计数变为减法计数,CD4017B2开始工作,CD4017B2的0到9脚依次与9到0灯相连,所以通过CD4067,CD4001,CD4017B2的芯片的作用,使流水灯反转,反转九圈后,Q4再次变为高电平,传给RS的寄存器,CD4510再次进行加法计数,流水灯就这样正转九圈后再反转九圈,因为CD4067的八个脚从I00到I07连接依次减小的电阻,所以正转速度越来越快,反转速度越来越慢。

单片机流水灯实验报告

单片机流水灯实验报告 电子信息工程学系实验报告 课程名称:单片机原理及接口 实验项目名称:实验2 流水灯实验时间: xx-10-21 班级:电信092 姓名:蔡松亮学号: 910706247 一、实验目的: 进一步熟悉keil仿真软件、proteus仿真软件的使用。了解并熟悉单片机I/O口和LED灯的电路结构,学会构建简单的流水灯电路。掌握C51中单片机I/O口的编程方法和使用I/O口进行输入输出的注意事项。 二、实验原理: MCS-51系列单片机有四组8位并行I/O口,记作P0、P1、P2和P3。每组I/O口内部都有8位数据输入缓冲器、8位数据输出锁存器及数据输出驱动等电路。四组并行I/O端口即可以按字节操作,又可以按位操作。当系统没有扩展外部器件时,I/O端口用作双向输入输

出口;当系统作外部扩展时,使用P0、P2口作系统地址和数据总线、P3口有第二功能,与MCS-51的内部功能器件配合使用。 以P1口为例,内部结构如下图所示: 图 P1口的位结构 作输出时:输出0时,将0输出到内部总线上,在写锁存器信号控制下写入锁存器,锁存器的反向输出端输出1,下面的场效应管导通,输出引脚成低电平。输出1时,下面的场效应管截止,上面的上拉电阻使输出为1。作输入时:P1端口引脚信号通过一个输入三态缓冲器接入内部总线,再读引脚信号控制下,引脚电平出现在内部总线上。 I/O口的注意事项,如果单片机内部有程序存贮器,不需要扩展外部存贮器和I/O接口,单片机的四个口均可作I/O口使用;四个口在作输入口使用时,均应先对其写“1”,以避免误读;P0口作I/O 口使 用时应外接10K的上拉电阻,其它口则可不必;P2可某几根线作地址使用时,剩下的线不能作I/O口线使用;P3口的某些口线作第二功能时,剩下的口线可以单独作I/O口线使用。

流水灯设备设计实验报告

《微处理器与接口技术课程设计》报告——流水灯设计 专业:电气工程及其自动化 班级:电气1221 姓名: 学号: 2015年7月14日

1.课程设计目的 1.1巩固和加深对单片机原理和接口技术知识的理解; 1.2培养根据课题需要选学参考书籍、查阅手册和文献资料的能力; 1.3学会方案论证的比较方法,拓宽知识,初步掌握工程设计的基本方法; 1.4学会软、硬件的设计和调试方法; 1.5能按课程设计的要求编写课程设计报告,能正确反映设计和实验成果,能用计算机绘制电路图和流程图。 2.课程设计要求 2.1 不得无故缺课。 2.2 独立完成规定的设计任务。 2.3 完成所有实验资料。 3.硬件设计 3.1 设计思想 1.尽可能采用功能强的芯片 (1)单片机的选型。随着集成电路技术的飞速发展,许多外围部件都已集成在芯片内,本身就是一个系统,这样可以省去许多外围部件的扩展工作, 设计工作大大简化。 (2)优先选用片内带有闪烁存储器的产品。 (3)考虑EPROM空间和RAM空间。 (4)对I/O端口的考虑 (5)预留A/D和D/A通道。 2.以软代硬 原则上,只要软件能做到且能满足性能要求,就不用硬件。 3.工艺设计 包括机箱、面板、配线、接插件等。必须考虑到安装、调试、维修的方便。另外,硬件抗干扰措施也必须在硬件设计时一并考虑进去。 3.2主要元器件介绍 LY-51S单片机开发板 8根杜邦线

AT89C51模块引脚 LED显示灯模块 3.3 功能电路介绍 使用德飞来单片机开发版,利用杜邦线将板子上LED灯与AT89C5的引脚对应连接,然后通过keil和STC-ISP烧制程序,达到LED灯的不同程度点亮。 RP1排阻:330~430欧姆,J9插针,用于连接需要使用的IO口。

流水灯电路课程设计报告书

机电高等专科学校电子技术课程设计报告设计课题:流水灯电路课程设计 专业班级: 学生: 学生学号: 指导教师: 成绩: 二○一三年十二月五日

流水灯电路 一、设计任务与要求 1.设计任务 设计一流水灯电路,使8个彩色发光二极管逐个亮、灭,扭动滑动变阻器改变发光二极管点亮的时间。 该流水灯电路实现以下功能: (1)八路彩灯从上到下逐次渐亮,间隔长短由滑动变阻器连入电路的阻值控制。 (2)八路彩灯从下到上逐次渐灭,间隔长短由滑动变阻器连入电路的阻值控制。 二、方案设计与论证 流水灯电路原理图: 从原理图可以看出,如果我们想让接在V0口的D1亮起来,那么我们只

要把V0口的电平变为低电平就可以了;相反,如果要接在V0口的D1熄灭,就要把V0口的电平变为高电平就可以;同理,接在V1~V7口的其他7个D 的点亮和熄灭方法方法同D1。因此,要实现流水灯功能,我们只要将D2~D8依次点亮、熄灭,依始类推,8只D 变会一亮一暗的做流水灯了。 实现8个D 流水灯程序用中文表示为:V0低、延时、V0高、V1低、延时、V1高、V2低、延时、V2高、V3低、延时、V3高、V4低、延时、V4高、V5低、延时、V5高、V6低、延时、V6高、V7低、延时、V7高、返回到开始、程序结束。 滑动变阻器 电源 图1—1 参考布局 三、单元电路参数计算与原理分析 原电路设计主要是有555定时器、滑动电阻、电容、二极管等元件 。参数计算主要是555定时器的参数计算。555定时器的部电路包括以下几部分:一个有三个阻值相等的电阻〔5千欧〕组成的分压电器,两个电压比较器A1和A2,一个R —S 触发器,一个三极管T 和一个反相器。 三个等值电阻组成的分压器为两个电压比较器提供基准电压。电压比较器A1的基准电压为2/3Ucc,加在A1的负端;电压比较器A2的基准电压为1/3Ucc ,加在A2的正端。A1的正端为域值端6(TH ),A2的负端为触发端2(TH )。在域值端6和触发端2外加输入信号与两基准电压比较,决定比较器的输出状态,用来启发电路。当域值端输入的电压大于2/3Ucc 时,比较器A1输出高电平,C1=1;当域值端输入的电压小于2/3Ucc 时,A1输出底

流水灯实验报告

单片机流水灯试验 一、实验目的: 1、进一步熟悉Keil C51集成开发环境调试功能的使用 2、学会自己编写程序,进行编译、仿真调试 3、学会使用单片机的P0口作为I/O口去控制外围电路,实现LED灯以固定的频率进行闪烁。 二、实验设备: 1、PC机 2、SW-51PROC单片机综合实验平台 三、实验内容: 1、编写一段程序,用单片机P0口的8个输出去控制8个LED灯,实现如下功能: 先使8个LED灯轮流点亮,从左向右移动,时间间隔0.5s。以上过程循环实现。 四、实验步骤: 1、实验原理: 单片机流水的实质是单片机各引脚在规定的时间逐个上电,使LED灯能逐个亮起来但过了该引脚通电的时间后便灭灯的过程,实验中使用了单片机的P2端口,对8个LED灯进行控制,要实现逐个亮灯即将P2的各端口逐一置零,中间使用时间间隔隔开各灯的亮灭。使用rl或rr a实现位的转换。A寄存器的位经过rr a之后转换如下所示: 然后将A寄存器转换一次便送给P2即MOV P2,A便将转换后的数送到了P2口,不断循环下去,便实现了逐位置一操作。 2、实验电路图

3通过仿真实验正确性

代码如下:ORG 0 MOV A,#00000001B LOOP:MOV P2,A RL A ACALL DELAY SJMP LOOP DELAY:MOV R1,#255 DEL2:MOV R2,#250 DEL1:DJNZ R2,DEL1 DJNZ R1,DEL2 RET End ORG 0000H LJMP MAIN 4、实验程序: ORG 0050H MAIN:MOV R0,#14 MOV DPTR,#TABLE L0: MOV A,#0 L1: MOVC A,@DPTR+A MOV P0,A ACALL DELAY1 INC A41 DJNZ R0,L1 SJMP L0 DELAY1: MOV R1,#250 LOOP1: MOV R2,#250 LOOP2: NOP NOP NOP NOP NOP DJNZ R0,LOOP2 DJNZ R1,LOOP1 RET TABLE: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FH,0BFH,0DFH,0EFH,0F7H,0FBH,0FD H END

数电课程设计流水灯报告 631306040220

学号:631306040220 课程设计 题目8路彩灯控制电路设计 学院信息科学与工程学院 专业通信工程 班级2013级2班 学号631306040220 姓名丁茂婷 指导教师李艾星谭晋 2015 年 1 月 2 日

课程设计任务书 学生姓名:丁茂婷专业班级:通信13级2班 指导教师:李艾星谭晋工作单位:信息科学与工程学院题目: 8路彩灯控制电路设计 初始条件: 1.运用所学的模拟电路和数字电路等知识; 2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片,LED 发光管等 要求完成的主要任务: 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种(其中包裹奇偶交替闪烁4次); 3.选作;闪烁时快慢两种节拍变换; 4.严格按照课程设计说明书要求撰写课程设计说明书。 时间安排: 第1天下达课程设计任务书,根据任务书查找资料; 第2~4天进行方案论证,软件模拟仿真并确定设计方案; 第5天提交电路图,经审查后领取元器件; 第6~8天组装电路并调试,检查错误并提出问题; 第9~11天结果分析整理,撰写课程设计报告,验收调试结果; 第12~14天补充完成课程设计报告和答辩。 指导教师签名:年月日

目录 引言 (1) 1设计意义及要求 (2) 1.1 设计意义 (2) 1.2 设计要求 (2) 1.3 初始条件 (2) 2方案设计 (3) 2.1 单元模块设计 (3) 2.2 单位脉冲设计电路 (3) 2.3 4位双向移位寄存器74LS194电路 (4) 3主要芯片介绍 元件参数 (5) 3.1 CC7555定时器----------------------------------------5 3.2 74LS161计数器-----------------------------------------5 3.3 74LS194移位寄存器-----------------------------------8 4.仿真电路图 4.1电路图----------------------------------------------------------------------10 5调试与检测 (11) 6体会与总结 (12) 参考文献……………………………………………………………….....

NE555+CD4017流水灯课设报告-徐卓

555流水灯设计报告 姓名:徐卓 班级:通信卓越 学号:20130611010128 教师:黄德昌 时间:2014年12月1日

目录 第一章摘要....................................... 第二章绪论....................................... 第三章设计方案................................... 3.1 总体电路设计............................... 3.2 单元电路设计............................... 3.21 555定时器................................. 3.22 脉冲分配器4017及其简单应用................ 3.23 CD4017管脚图及其功能...................... 3.24 自激多谐振荡器............................. 第四章PCB板的制作流程........................... 第五章电路调试与测试............................ 5.1 电路板的调试............................... 5.2 可能问题的预处理........................... 第六章总结与致谢................................ 第六章参考文献.................................. 第七章附录......................................

(完整word版)流水灯实验报告

FPGA流水灯实验报告 一、实验目的 熟练该软件的操作的同时,学会用VHDL语言来编程流水灯的制作 二、实验步骤 1、VHDL原程序: library ieee; use ieee.std_logic_1164.ALL; use ieee.std_logic_arith.ALL; use ieee.std_logic_unsigned.ALL; entity lsd is Port ( clk2,en2: in STD_LOGIC; lsd_out : out STD_LOGIC_VECTOR(15 downto 0)); end lsd; architecture liushuideng of lsd is signal n:STD_LOGIC_VECTOR(3 downto 0); signal m:std_logic_vector(1 downto 0); signal k:std_logic;

begin process(clk2,en2) begin if clk2'event and clk2='1'then if en2='1' then if m="10" then m<="01"; k<=not k; else m<=m+"01"; end if; end if; end if; end process; process(k) begin if k'event and k='1' then case n is when "0000"=> lsd_out<="0000000000000001"; when "0001"=> lsd_out<="0000000000000010"; when "0010"=> lsd_out<="0000000000000100"; when "0011"=> lsd_out<="0000000000001000"; when "0100"=> lsd_out<="0000000000010000"; when "0101"=> lsd_out<="0000000000100000"; when "0110"=> lsd_out<="0000000001000000"; when "0111"=> lsd_out<="0000000010000000"; when "1000"=> lsd_out<="0000000100000000"; when "1001"=> lsd_out<="0000001000000000"; when "1010"=> lsd_out<="0000010000000000"; when "1011"=> lsd_out<="0000100000000000"; when "1100"=> lsd_out<="0001000000000000"; when "1101"=>

流水灯课程设计报告

流水灯课程设计报告 欢迎阅读流水灯课程设计报告,本文主要介绍了基于单片机的流水灯系统,首先介绍了以AT89C51为控制核心,8位共阳极接法的二极管显示电路的流水灯系统,并通过对流水灯的基本控制原理进行了流程控制设计,从而实现流水灯现象。 随着科学技术水平的不断向前提高以及社会经济的不断向前发展,人们越来越意识到广告宣传的重要性,越来越多的丰富多彩、新颖夺目的LED广告宣传牌充斥在城市的公共场所中,为灯红酒绿的城市增加了炫目多姿的色彩。这些LED广告宣传牌动态显示的背后,则是以流水灯的原理为基础,以单片机为控制核心,按照广告商的宣传需求,通过炫目的多彩和新颖的方式来吸引人们的眼球来完成广告宣传。基于单片机的流水灯的设计,主要是以单片机为控制核心,通过自身的数据输入输出端口完成与流水灯显示电路的数据联通,通过内部的程序运行来实现对流水灯显示电路的动态控制,进而实现显示电流的循环亮灭的操作。所以对于基于单片机的流水灯系统的深入研究与学习对于学习单片机控制系统以及LED广告宣传系统的工作机制进来说具有非常重要的现实意义。 流水灯的显示电路就是多个二极管通过一端公共连接而构成的显示电路,并将另一端分别与单片机的多个数据输

入输出端口进行连接,当单片机向这些端口发送相应的数据时,根据二极管的工作特性,从而实现对其的亮灭控制。当然,单片机工作还需要复位电路和晶振电路配合单片机芯片构成单片机工作的最小系统,从而满足单片机正常工作的基本条件。如图1所示,为基于单片机的流水灯控制系统硬件电路图,该硬件电路以AT89C51为基本的控制核心,实现对 8为二极管流水灯显示电路的亮灭控制。 该控制系统是以AT89C51为系统的控制单片机,它是美国ATMEL公司生产的高性能的CMOS 8位处理器,同时配备了丰富的硬件资源,有128字节的RAM供用户使用,并提供 2个16为定时器/计数器完成定时和计数的工作以及32根数据输入输出端口来单片机与外部电路的数据连通的工作。 8位二极管构成的流水灯显示电路是用共阳极的连接方法来构成的显示电路的。常见的LED显示灯电路中的二极管连接方法有两种,一种是共阳极连接,一种是共阴极连接,它们是根据显示电路中二极管公共连接的方式来决定的。共阳极接法就是将构成LED显示电路的二极管的阳极接在一起构成公共端,共阴极接法就是将LED显示电路中的二极管的阴极接在一起构成公共端。对于共阳极接法的显示电路来说,如果在该端实施低电压,对于共阴极接法的显示电路来说,如果在该端实施高电压,那么无论在另外一段如何控制电压都不能使该显示电路亮灭,从而实现了通过对该公共端的电

心形流水灯实验报告

【数字电路课程设计】 非遥控音乐桃心闪灯 实 验 报 告 学院:物理电气信息学院 专业:通信(1)班 姓名:刘发虎 学号:12011244070 指导老师:王国金

一.实验目的: 1.学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计 步骤,培养综合设计与调试能力。 2学习和掌握单片机的基本知识。 3.培养实践技能,提高分析和解决实际问题的能力。。 二.实验器材: 品名型号数量元件符号 单片机 MCU8051 1 U1 电容 30pf 2 C1 C2 10uf 1 C3 100uf 1 C4 电阻 1K 30 R1 R2 R3 R4...R28 R29 R30 10K 1 R31 3.6K 1 R32 晶振 12M 1 Y0 电源 5 1 VCC 三级管8550 1 Q1 8050 1 Q2 开关 2 RESET 喇叭 1 SPK LED灯 6mm 30 p1 p2p p3...p28 p29p p30 三.单片机MCU8051主要功能; 8位CPU·4kbytes 程序存储器(ROM) (52为8K) ·256bytes的数据存储器(RAM) (52有384bytes的RAM) ·32条I/O口线·111条指令,大部分为单字节指令 ·21个专用寄存器 ·2个可编程定时/计数器·5个中断源,2个优先级(52有6个) ·一个全双工串行通信口 ·外部数据存储器寻址空间为64kB ·外部程序存储器寻址空间为64kB ·逻辑操作位寻址功能·双列直插40PinDIP封装 ·单一+5V电源供电

CPU:由运算和控制逻辑组成,同时还包括中断系统和部分外部特殊功能寄存器; RAM:用以存放可以读写的数据,如运算的中间结果、最终结果以及欲显示的数据; ROM:用以存放程序、一些原始数据和表格; I/O口:四个8位并行I/O口,既可用作输入,也可用作输出; T/C:两个定时/记数器,既可以工作在定时模式,也可以工作在记数模式; 五个中断源的中断控制系统; 一个全双工UART(通用异步接收发送器)的串行I/O口,用于实现单片机之间或单片机与微机之间的串行通信; 片内振荡器和时钟产生电路,石英晶体和微调电容需要外接。最高振荡频率为12M。 四.实验内容 本课题使用MCU8051单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有三十个LED灯的单片机最小应用系统,即为由 LED灯、晶振、复位、电源等电路和必要的软件组成的单个单片机。 如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.30口的其他29个LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED30依次点亮、熄灭,30只LED灯便会一亮一暗的做流水灯了。同样的道理,可以30个灯左移

数电流水灯课程设计报告

课程设计说明书 课程名称:数字电子技术基础 设计题目:多路循环彩灯 院系: 电气工程系 学生姓名:xxxxxx 学号:200831007 专业班级:电子科学与技术<一>班 指导教师:xxxxxx 日期:2011年6月2日

目录 一、设计目的 (3) 二、设计要求 (3) 三、总体方案 (3) 四、具体实现 (4) 五、实现过程中遇到的问题及措施 (7) 六、心得体会 (7) 七、参考文献 (8) 八、附件 (8)

一、设计目的 掌握555多谐振荡器、译码器、十六进制加/减计数器的逻辑功能和工作原理,设计可改变流速的流水灯电路图,分析与设计时序控制电路。画出流水灯的整机逻辑电路图,掌握流水灯工作原理及其设计方法,并对各种元器件的功能和应用有所了解。并能对其在电路中的作用进行分析。另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路中有良好的思路,便于查找出错的原因。 二、设计要求 1、设计一个彩灯控制电路、能使彩灯依次点亮。 2、彩灯流速可以改变。 三、总体方案 本设计采取的方案是:用555多谐振荡器一个、74163一片、74154一片、滑动变阻器、电阻、电感、电容、LED和门电路若干。由555多谐振荡器提供稳定脉冲,74163二进制加/减计数器一片用来计数和输出信号通过译码器74154直接输出控制彩灯。而控制流速用滑动变阻器调节电阻来改变输入脉冲频率,进而改变彩灯流速,如图1所示 译码器 555多谐振荡器计数器译码器彩灯 图1 结构方框图 四、具体实现本电路共包含一下几个模块: (一):555多谐振荡器产生的脉冲电路 本设计由多谐振荡器产生频率的脉冲替代分频电路的作用,1号引脚接地,4、5号引脚直接接电源,其余按图2接入,R1滑动变阻器可变,R2电阻设置为1千欧姆,电

相关文档
最新文档