8位竞赛抢答器课程设计

8位竞赛抢答器课程设计
8位竞赛抢答器课程设计

目录

1. 原理分析(by 张潇) (2)

1.1 设计任务 (2)

1.2 性能指标 (2)

1.3 工作原理 (2)

2. 方案选择(by 张潇) (3)

2.1 方案设定 (3)

2.2 方案比较 (3)

2.3 方案选择 (3)

3. 电路原理图绘制及仿真(by 王倩) (3)

3.1 所需元器件型号及数量 (3)

3.2 电路原理图 (4)

3.3 电路仿真结果 (5)

4. Pcb图绘制(by 朱文广) (5)

4.1 pcb绘制步骤 (5)

4.2 pcb绘制原则 (5)

4.3 8路抢答器pcb图 (7)

5. 综合调试(by 朱文广) (7)

5.1 软件调试 (7)

5.2 硬件调试 (9)

6. 总结(by 王倩) (10)

附录1:电路仿真图 (11)

附录2: 8路抢答器完整程序 (12)

1.1 设计任务

以单片机为核心,设计一个8位竞赛抢答器,同时供8名选手或8个代表队比赛。

设置一个系统清除和抢答控制开关S,开关由主持人控制。

抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。

抢答器具有定时抢答功能,且一次抢答的时间可由主持人设定。

参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

1.2 性能指标

电源电压:直流5V±10%

选手组数:2-8组

初始抢答倒计时:20s

初始回答倒计时:30s

倒计时范围:1-99s可设

倒计时提示时间:最后5s

1.3 工作原理

八路数字抢答器原理框图如图1所示,其工作原理为:接通电源后,主持人未按下开始抢答,抢答器处于禁止状态,数码管显示“----”;主持人宣布“开始”同时按下开始抢答按键,抢答倒计时开始计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,回答倒计时开始计时、禁止二次抢答、数码管显示抢答选手编号以及回答剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

图1 八路抢答器原理框图

2.1 方案设定

方案一以AVR单片机为主控制芯片,显示电路用LCD1602实现,用机械开关按钮作为控制开关,实现抢答输入信号的触发。该电路的优点是中小规模集成电路应用技术成熟,性能可靠,能方便地完成选手抢答的基本功能。

方案二该系统采用51系列单片机AT89C51作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。由于用了单片机,使其技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改。

2.2 方案比较

方案一由于系统功能要求较高,所以电路连接集成电路相对较多,而且过于复杂,并且制作过程工序比较烦琐,使用不太方便,同时AVR的编程一般需要gcc或者icc,有特定的环境,与51相比,稍微复杂一点,资料也没有51多,而且对于初学者,51使用起来更得心应手一些。

方案二使用的51单片机可靠性好功能性强,比起方案一,系统更具有灵活的可编程性,周围辅助电路也不多,简单可靠成本低,对于学生来说,不失为一个更优选。

2.3 方案选择

综上所述,方案二比方案一更具有可行性,因此我们选择使用AT89C51单片机为主控制器,外加4位数码管显示电路和报警电路、按键电路的组合,来完成我们8路抢答器的设计。

3. 电路原理图绘制及仿真(by 王倩)

3.1 所需元器件型号及数量

3.2 电路原理图

图2 8路抢答器总体电路图

如图2所示,该抢答器由主控制器AT89C51控制,外围显示电路、脉冲产生电路和按键电路构成。电路通电后打开电源开关,支持人按下开始键即开始抢答倒计时,倒计时时间和回答问题时间均可由主持人自由设定。倒计时最后5s时蜂鸣器会发出提示声。若有选手抢答成功,就开始回答倒计时,无人抢答则返回到最初状态。

3.3 电路仿真结果

见附录1。

4. Pcb图绘制(by 朱文广)

4.1 pcb绘制步骤

①建立元件库。将自己所需的所有元件放入自己设计的pcb库专用设计文件。

②规划电路板,主要是确定电路板的边框,包括电路板的尺寸大小等等。在需要放置固定孔的地方放上适当大小的焊盘。

注意:在绘制电路板地边框前,一定要将当前层设置成Keep Out层,即禁止布线层。

③导入网络表文件和修改零件封装。

④设置布局。Protel99可以进行自动布局,也可以进行手动布局。如果是手动布局,用鼠标选中一个元件,按住鼠标左键不放,拖住这个元件到达目的地,放开左键,将该元件固定。

⑤根据情况再作适当调整然后将全部器件锁定。放好后用VIEW3D 功能察看一下实际效果,存盘。

4.2 pcb绘制原则

印制电路板(PCB)是电子产品中电路元件和器件的支撑件.它提供电路元件和器件之间的电气连接。随着电于技术的飞速发展,PCB的密度越来越高。PCB 设计的好坏对抗干扰能力影响很大.因此,在进行PCB设计时.必须遵守PCB 设计的一般原则,并应符合抗干扰设计的要求。要使电子电路获得最佳性能,元器件的布局及导线的布设是很重要的。为了设计质量好。造价低的PCB,应遵循以下一般原则:

A.布局。首先,要考虑PCB尺寸大校PCB尺寸过大时,印制线条长,阻抗增加,抗噪声能力下降,成本也增加;过小,则散热不好,且邻近线条易受干扰。在确定PCB尺寸后.再确定特殊元件的位置。最后,根据电路的功能单元,对电路的全部元器件进行布局。

B.尽可能缩短高频元器件之间的连线,设法减少它们的分布参数和相互间的电磁干扰。易受干扰的元器件不能相互挨得太近,输入和输出元件应尽量远离。

C.某些元器件或导线之间可能有较高的电位差,应加大它们之间的距离,以免放电引出意外短路。带高电压的元器件应尽量布置在调试时手不易触及的地方。

D.重量超过15g的元器件。应当用支架加以固定,然后焊接。那些又大又重。发热量多的元器件,不宜装在印制板上,而应装在整机的机箱底板上,且应考虑散热问题。

热敏元件应远离发热元件。

E.对于电位器。可调电感线圈。可变电容器。微动开关等可调元件的布局应考虑整机的结构要求。若是机内调节,应放在印制板上方便于调节的地方;若是机外调节,其位置要与调节旋钮在机箱面板上的位置相适应。

F.应留出印制板定位孔及固定支架所占用的位置。根据电路的功能单元.对电路的全部元器件进行布局时,要符合以下原则:

a.按照电路的流程安排各个功能电路单元的位置,使布局便于信号流通,并使信号尽可能保持一致的方向。

b.以每个功能电路的核心元件为中心,围绕它来进行布局。元器件应均匀。整齐。紧凑地排列在PCB上.尽量减少和缩短各元器件之间的引线和连接。

c.在高频下工作的电路,要考虑元器件之间的分布参数。一般电路应尽可能使元器件平行排列。这样,不但美观.而且装焊容易.易于批量生产。

d.位于电路板边缘的元器件,离电路板边缘一般不小于2mm。电路板的最佳形状为矩形。长宽比为3:2成4:3。电路板面尺寸大于200x150mm时.应考虑电路板所受的机械强度。

G.布线。布线的原则如下:

a.输入输出端用的导线应尽量避免相邻平行。最好加线间地线,以免发生反馈藕合。

b.印制摄导线的最小宽度主要由导线与绝缘基扳间的粘附强度和流过它们的电流值决定。

c.印刷线路板的布线要注意以下问题:专用零伏线,电源线的走线宽度≥1mm;电源线和地线尽可能靠近,整块印刷板上的电源与地要呈“井”字形分布,以便使分布线电流达到均衡;要为模拟电路专门提供一根零伏线;为减少线间串扰,必要时可增加印刷线条间距离,在意;安插一些零伏线作为线间隔离;印刷电路的插头也要多安排一些零伏线作为线间隔离;特别注意电流流通中的导线环路尺寸;如有可能在控制线(于印刷板上)的入口处加接R-C去耦,以便消除传输中可能出现的干扰因素;印刷弧上的线宽不要突变,导线不要突然拐角(≥90度)。

d.焊盘。焊盘要比器件引线直径大一些。但焊盘太大易形成虚焊。焊盘外径D一般不小于(d+1.2)mm,其中d为引线孔径。对高密度的数字电路,焊盘最小直径可取(d+1.0)mm。

H.电源线设计:根据印制线路板电流的大小,尽量加租电源线宽度,减少环路电阻。同时。使电源线地线的走向和数据传递的方向一致,这样有助于增强抗噪声能力。

I.地线设计原则:

a. 数字地与模拟地分开。若线路板上既有逻辑电路又有线性电路,应使它们尽量分开。低频电路的地应尽量采用单点并联接地,实际布线有困难时可部分串联后再并联接地。高频电路宜采用多点串联接地,地线应短而租,高频元件周围尽量用栅格状大面积地箔。

b. 接地线应尽量加粗。若接地线用很细的线条,则接地电位随电流的变化而变化,使抗噪性能降低。因此应将接地线加粗,使它能通过三倍于印制板上的允许电流。如有可能,接地线应在2~3mm以上。

c. 接地线构成闭环路。只由数字电路组成的印制板,其接地电路布成闭环路大多能提高抗噪声能力。

4.3 8路抢答器pcb图

图3 8路抢答器pcb图

5. 综合调试(by 朱文广)

5.1 软件调试

该程序定义P1.0-P1.7为八路抢答输入数码管段选P0口,位选P2口,蜂鸣器输出为P2.0口。P3.1为开始抢答,P3.2为抢答时间设置按键,P3.3为回答时

间设置按键,P3.4为时间加,P3.5为时间减,P3.6为停止。程序流程图见图4。

图4 程序流程图

具体程序见附录2。

5.2 硬件调试

(a)接通电源(b)抢答倒计时

(c)回答倒计时(d)自主设定倒计时时间

图5 整机调试图

6. 总结(by 王倩)

这次综合课程设计是我们在大学第一次真正意义上的完成的一个作品,从最开始的原理分析、方案选择,到后来的调试,最后得到一个成品。这次的实验对我们组的成员来说,是一个很大的挑战,因为我们之前都没有参加过比赛,而我们这一届也与电子设计大赛无缘,所以,不管是分工合作方面还是技术方面,我们都不看好自己。但是我们都没有退缩,因为我们都渴望成功,无论如何,我们都相信努力才会有结果,坚持成了我们心中唯一的信念。

最后我们用实际行动证明了自己,巨大的满足感让我们都兴奋不已。我们完成了预期的目标,而且我们收获到的,不只是这个实验的成功,而是我们团队的合作经历和难得的经验。

单片机是一门应用性和实践性很强的学科,很多人都想学习单片机,并且想知道如何学习单片机。通过这次实验,我们深深地体会到,学单片机不仅要学习理论知识,实践操作也很重要。相信很多学过单片机的人都有这样的经历,就是把自己写的程序烧录到单片机里面的时候会发现与自己想要的结果有很大的不同。这就是实践操作太少,经验不足的缘故。

这个实验对我们小组来说,最难的莫过于写程序。所以我们的程序是仿写的,从网上下了很多类似的程序,一边调试一边改,最后找出了最适合我们的需求的总程序。在电路制作方面,对我们来说也是个难题,画pcb完全是现学现用,但值得庆幸的是,我们学会了,虽然最后画出来的图也没有尽如人意。我们还是不够仔细,在按键电路的部分没有加入电阻,也没有防抖动部分,希望下次我们可以做得更好更加完善。

在这个实验前期,我们都忽略了一个大问题,那就是谨慎。不管是程序的改进,还是电路的封装,还是电路焊接,还是整机调试,每一个过程都需要我们谨慎小心对待,一旦出错,我们就得不到想要的结果。这虽然是一个小实验,但对于我们的生活态度也有一定的影响。对待任何事情,我们都要百分百用心,仔细认真,并坚持不懈,总会有成功的一天。

最后,感谢老师的悉心教导,耐心地为我们解决难题,让我们可以有今天的进步。

附录1:电路仿真图

附录2: 8路抢答器完整程序

#include "reg51.h" //51系列单片机定义文件

#include "intrins.h" //调用_nop_();延时函数用

#define uchar unsigned char//无符号字符(8位)

#define uint unsigned int //无符号整数(16位)

bit OK; //开始抢答标志位,响铃标志位

sbit speak=P2^0; //声音输出口

sbit start=P3^1; //开始

sbit end=P3^6; //终止

sbit add=P3^4; //+

sbit sub=P3^5; //-

//其中抢答设置时间,以及回答设置时间,采用的是单片机中断口p3.2以及p3.3,故不用定义

sbit S1=P2^1;//第一个数码管

sbit S2=P2^3;//第二个数码管

sbit S3=P2^5;//第三个数码管

sbit S4=P2^7;//第四个数码管

uchar Q_T=20,H_T=30,qt,ht; //初始抢答时间,回答时间

uchar a=11,b=11,c=11,d=11,n,m=0,k; //数码管显示选手编号与计数值,计时器中断次数,犯规选手号

/*****************************************************************************/ uchar code dis_7[]={0x5F,0x44,0x9D,0xD5,0xC6,0xD3,0xDB,0x45,0xDF,0xD7,0x00,0x80};

/* 共阳七段LED段码表"0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "不亮" "-"*/ /********1毫秒延时子函数**********/

void delay1ms(uint t)

{

uint i,j;

for(i=0;i

for(j=0;j<120;j++)

;

}

/*******************************响铃标志子程序********************************/ void nose()

{speak=0;

delay1ms(500);

speak=1;

}

/********************************显示子程序**********************************/ void display()

{

P0=dis_7[a]; //第一个数码管显示

S1=0; //打开第一个数码管显示

delay1ms(5); //稍作延时

S1=1; //关闭数码管显示

P0=dis_7[11];

S2=0;

delay1ms(5);

S2=1;

P0=dis_7[b];

S3=0;

delay1ms(5);

S3=1;

P0=dis_7[c];

S4=0;

delay1ms(5);

S4=1;

}

/************************************非法抢答*********************************/ void false()

{

if(P1!=0xff) //如果p1口不等于ff,则执行一下程序,否则跳过

{

if(P1==0xf7) //1号选手违规按下

{m=1;} //赋值1,送显示

if(P1==0xfb) //2号选手违规按下

{m=2;} //赋值2,送显示

if(P1==0xfd) //3号选手违规按下

{m=3;} //赋值3,送显示

if(P1==0xfe) //4号选手违规按下

{m=4;} //赋值4,送显示

if(P1==0xef) //5号选手违规按下

{m=5;} //赋值5,送显示

if(P1==0xdf) //6号选手违规按下

{m=6;} //赋值6,送显示

if(P1==0xbf) //7号选手违规按下

{m=7;} //赋值7,送显示

if(P1==0x7f) //8号选手违规按下

{m=8;} //赋值8,送显示

n=0; //计数器中断次数清零

while(end==1) //停止按键未按下,循环以下

{ if(n<6) //若计数器中断次数小于6,则执行以下

{a=10;b=10;c=10;//a,b,c均赋值10,不显示

display(); //延时

speak=1;} //蜂鸣器关闭

if(n>=6) //若计数器中断次数大于等于6,则执行以下程序

{a=m;b=11;c=11; //a赋值违规选手号数,b,c赋值11,显示数码管中间一横

display(); //延时

speak=0;

} //开启蜂鸣器

if(n==20) //若计数器中断次数等于20,则执行以下程序

{n=0;} //清零

}

speak=1;m=0; //关闭蜂鸣器;违规抢答位清零

a=10;b=10;c=10; //a,b,c均赋值10

}

}

/****************************************************************************/ main()

{TMOD=0x11;

TH0=0x3c;

TL0=0xb0;

EA=1;

ET0=1;

EX0=1;

EX1=1;

OK=0;

speak=1;

TR0=1;

while(1) //无尽循环

{display(); //显示程序

while(start==1) //开始未按下,循环以下程序

{false(); //违规子程序

display(); //显示子程序

if(start==0) //若开始按键按下,则执行以下程序

{delay1ms(2); //稍作延时,防止抖动

while(start==0); //若开始按键按下,则执行以下程序

nose(); //响铃子程序

OK=1; //开始抢答标志位

TR0=0;

qt=Q_T; //抢答时间赋初值

n=0; //计数器中断次数清零

while(qt>0&&end==1&&OK==1&&P1==0xff) //抢答时间大于0且停止按键未按下且开始抢答标志为1且未有选手按键按下则执行以下程序

{TR0=1;

a=10; //a赋值10

b=qt/10; //b赋值抢答时间十位

c=qt%10; //c赋值抢答时间个位

display(); //调用显示

speak=1;

if(n>20) //若计数器中断大于20,则执行以下程序

{n=0; //n清零

qt--; //抢答时间减1

}

if(qt<=5) //如果抢答时间小于等于5,则执行以下程序

{if(n<7) //如果n小于7,则执行以下程序

{speak=1;

}

if(n>7)

{speak=0;}

}

}

if(qt==0) //如果抢答时间等于0

{a=10;b=10;c=10;d=10;OK=0;speak=1;m=0;n=0;

} //则a,b,c,d均置10;抢答标志,响铃关闭,选手号,计数器中断数均清零if(end==0) //如果主持人按下终止按键

{a=10;b=10;c=10;d=10;OK=0;speak=1;m=0;n=0;

} //则a,b,c,d均置10;抢答标志,响铃关闭,选手号,计数器中断数均清零if(P1!=0xff) //如果有选手按下按钮,则执行以下程序

{k=P1; //p1口数值赋给k

nose(); //响铃子程序

if(k==0xf7) //比较k的值

{m=1;} //赋对应选手号给m

if(k==0xfb) //跟以上一样,以下以此类推

{m=2;}

if(k==0xfd)

{m=3;}

if(k==0xfe)

{m=4;}

if(k==0xef)

{m=5;}

if(k==0xdf)

{m=6;}

if(k==0xbf)

{m=7;}

if(k==0x7f)

{m=8;}

n=0; //n清零

ht=H_T; //回答时间赋初值

while(end==1&&ht>0) //当终止未按下且回答时间大于0,则循环以下程序

{TR0=1; //打开计时器

a=m; //a赋值选手号

b=ht/10; //b赋值回答时间十位

c=ht%10; //c赋值回答时间个位

display(); //调用显示子程序

speak=1;

if(n==20) //如果计时器中断次数等于20,则执行以下程序

{n=0; //清零

ht--; //回答时间减1

}

if(ht<=5) //如果回答时间小于等于5,则执行以下程序

{if(n<7)

{speak=1;

}

if(n>7)

{speak=0;

}

}

}

}

{a=10;b=10;c=10;d=10;OK=0;speak=1;m=0;n=0;

} //清零

}

}

}

}

/**********************************定时0中断**********************************/ void time_intt0(void) interrupt 1

{TH0=0x3c;TL0=0xb0; //赋初值

n++;

}

/*****************************************************************************/ /********************************外中断0**************************************/ void time_intt2(void) interrupt 0 //采用中断设置时间

{while(end==1) //终止未按下,循环以下

{if(add==0) //如果+键按下,执行以下程序

{delay1ms(2); //稍作延时,防止抖动

while(add==0); //当+键等于0,则循环

if(Q_T>=99) //如果抢答时间大于等于99

{Q_T=0; //则抢答时间清零

}

else //否则

{Q_T++; //抢答时间加1

}

}

if(sub==0) //如果-键按下,执行以下程序

{delay1ms(2); //稍作延时,防止抖动

while(sub==0); //当-键等于零,则循环

if(Q_T<=0) //如果抢答时间小于等于0

{Q_T=99; //则抢答时间等于99

}

else //否则

{Q_T--; //抢答时间减1

}

}

a=10;b=Q_T/10;c=Q_T%10;display(); //a赋值10,b赋值抢答时间十位,c赋值抢答时间个位,调用显示子程序

}

a=10;b=10;c=10;display(); //a,b,c均赋值10,调用显示子程序

}

/*****************************************************************************/ /************************************外中断1**********************************/ void time_intt3(void) interrupt 2 //采用中断设置时间

{while(end==1) //解释与上一个中断一样

{if(add==0)

{delay1ms(2);

while(add==0);

if(H_T>=99) //回答时间

{H_T=0;

}

else

{H_T++;

}

}

if(sub==0)

{delay1ms(2);

while(sub==0);

if(H_T<=0)

{H_T=99; //回答时间

}

else

{H_T--;

}

}

a=10;b=H_T/10;c=H_T%10;display(); //b,c赋值的是回答时间

}

a=10;b=10;c=10;display();

}

/*************************************************************************/

8位竞赛抢答器的设计--实用.docx

单片机课程设计专业电气工程及其自动化 指导教师 学生颜良堂 学号B16 题目8 位竞赛抢答器的设计 2013 年 12 月 25 日

目录 一、设计任务与要求. (3) 二、方案设计与论证 (3) 方案一: (3) 方案二: (4) 三、单元电路的设计 (4) 芯片的选择及工作原理 (4) 系统的硬件构成及功能 (4) 四、软件的设计 (5) 主程序流程图 (6) 主程序 (6) 子程序 (7) 1、开始、复位程序 (7) 2、中断程序 . (8) 3、选手键盘扫描程序. (9) 4、数码管显示程序.12 5、抢答时间设计程序.13 6、延时子程序.13 五、仿真与调试. (14) 抢答器调试结果. (14) 六、结论与心得 (16) 附件 1:电路图 (16) 附件 2:源程序 (17) 附、参考文献? (24)

一、设计任务与要求 以单片机为核心,设计一个8 位竞赛抢答器:同时供8 名选手或8 个代表队比赛,分别用8 个按钮S0~ S7 表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手 的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30 秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声 响持续的时间为左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器 上显示00。 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局 变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30 时置 0 )。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停 止计数,同时将选手编号(按键号)和抢答时间分别显示在LED 上。 二、方案设计与论证 方案一:

基于proteus仿真的8位竞赛抢答器的设计

基于proteus仿真的8位竞赛抢答器的设计 【摘要】本系统是在protues上进行仿真实现8位竞赛抢答器系统。该抢答器选用当前的主流芯片AT89C51作为核心,通过外围的接口实现抢答功能。利用单片机的定时器/计数器定时和计数功能,用按键通过开关电路输入各路的抢答信号,经单片机的处理,输出控制信号,通过软、硬件的结合,从而实现单片机控制的抢答器系统。 【关键词】8位竞赛抢答器;AT89C51;存储模块、显示模块、抢答开关模块 0.引言 随着经济和文化事业发展的需要,在很多公开竞争的场合要求有公共的竞争裁决,诸如证券、股票交易及各种竞赛抢答,因此抢答器应运而生。抢答器一般是由很多电路组成的,线路复杂,可靠性不高,功能也相对比较简单,特别是当抢答要求的路数较多的情况下,实现起来会很困难。因此,设计一个易于使用和区分的抢答器成了非常迫切的任务。 单片机以其功耗小、智能化著称,所以我们设计了以AT89C51单片机为核心的新型智能抢答器,在保留抢答器的基本功能的同时又增加的数码管显示电路使本抢答器系统具有使用性强、判断精确、操作简单、扩展功能强等优点。因此。可以广泛应用于各种知识竞赛、文艺活动等场合。 1.基本功能介绍 (1)主持人通过设置一个开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 (2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答器按钮,编号立即锁存,并在LED数码上显示选手的编号,同时扬声器给出音响提示。 (3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。且参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (4)选手如果在主持人按开始键之前违规抢答,系统报警,LED显示违规选手号码和FF,直到主持人按下停止键。 2.硬件电路设计

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

利用74LS175制作的八路抢答器资料

利用74L S175制作的八路抢答器

电子课程设计报告题目名称:八路抢答器设计 姓名: 专业: 班级学号: 同组人: 指导教师: 南昌航空大学计算机学院 2008年 06 月 26 日仅供学习与交流,如有侵权请联系网站删除谢谢2

摘要 在市场上可能有很多的八路数显智力竞赛抢答器,但是本论文将提供一种新的八路数显智力竞赛抢答器设计方案,设置复位标志位便于区分不同原因引发的复位,作为一种新技术被越来越多的新型单片机所采纳。但本论文中的八路数显智力竞赛抢答器只是利用到最基本的复位方式。 经过考虑我们选择了74LS175芯片做八路抢答器。它的俗名是4D触发器。选择它是因为它具有D触发器的性质,有存储功能。本设计主要考虑了该芯片经CP脉冲,在不同的情况下对它有维持阻塞作用。在设计方案中。要设计八路抢答器,我们就选择了两个784LS175的芯片,因为每个芯片有四路。本抢答器拥有复位清零作用。并且有数码管显示选手的号码。方便。 关键字:I.抢答器…II.维持阻塞…III.存储功能,IV优先编码。 仅供学习与交流,如有侵权请联系网站删除谢谢3

目录 摘要.................................................... (2) . 前言…………………………………………………………………….... .4 第一章抢答器的概述 (5) 1.1 设计要求 (5) 1.2抢答器的用途及要求 (5) 第二章电路设计原理及单元模块 (5) 2.1 74LS175的功能表内部结构及管脚图 (5) 2.2完成抢答器的置位及指示电路及其原理 (7) 2.3阻塞电路及其原理 (9) 2.4时钟脉冲的控制及其原 理 (11) 2.5电路设计总原理图 (12) 第三章安装与调试 (13) 3.1电路的安装调试 (13) 3.2电路的测试 (13) 第四章实验结论 (14) 参考文献 (15) 附录………………………………………………………………….…. .15 仅供学习与交流,如有侵权请联系网站删除谢谢4

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

八人抢答器的课程设计

郑州电力职业技术学院毕业生设计 题目:八人抢答器课程设计 系别:电力工程系 专业:供用电技术 班级:09供电三班 学号: 姓名:张华永 设计成绩指导教师赤娜 答辩成绩主答辩教师 综合成绩答辩委员会主任 目录 一引言 (4) 1.1 设计要求 (4) 1.2 功能要求 (4) 1.3 功能介

绍 (4) 二方案设计与论证 (4) 2.1 各部分电路简述 (4) 2.2 设计方案简述 (5) 三系统分析与设计 (5) 3.1 抢答器电路设计与相关元器件 (5) 3.2 定时电路设计与相关元器件 (7) 3.3 报警电路设计与相关元器件 (9) 3.4 时序电路与相关元器件 (9) 3.5 智力抢答器电路原理图 (10)

3.6 元器件清单 (11) 设计总结体会 (13) 参考文献 (14) 摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对它的认识也逐步加深。人们也利用了电子技术以及相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问题中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经LED显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手答题完成后,主持人将系统恢复至零。 关键词:抢答;计时;锁存 一引言 (一)设计要求 1.设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛. 他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

八位智力抢答器的设计与制作开题报告

八位智力抢答器的设计与制作开题报告 一、开题报告背景和意义 智力竞赛是一种能锻炼人的头脑开发人的IQ的一种大众化游戏,也起到娱乐的作用。现在智力竞赛越来越被多数人喜爱和娱乐,像中央卫视的三星智力快车、金苹果、幸运50等等多档智力竞赛节目都拥有大批的忠实观众。而且国内外各地电视台、工厂、学校等单位也会常常举办类似的智力竞赛活动,然而智力竞赛抢答器是必要设备。 在有些地方举行的各种智力竞赛游戏中我们经常看到有抢答的环节,举办方大多数采用让选手通过举答题板的方法或者是举手的方式判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。 二、开题报告任务的主要内容 1.设计一个智力抢答器,可同时供多名选手参加比赛,对应多个抢答按钮。 2.主持人设置一个控制开关,用来控制系统得清零(显示数码灭)和抢答开始。 3.抢答器具有数据锁存功能,抢答开始后,若有选手抢答,编号立即锁存,LED 显示选手编号。同时扬声器给出音响提示,此外,要封锁输入电路。 4.(扩展功能)定时抢答,主持人设定时间,启动开始后,定时器立即减计时,并用显示器显示。 5.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示选手编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6.如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,并封锁输入电路,报警,禁止超时抢答。 三、已具备条件 熟练protell99se软件的使用,已学过模拟电子技术、数字电子技术和电路基本知识等课程。还有电子元对基本电路的简单设计,器件的选型、安装、焊接与调试。

八路抢答器课程设计报告

目录 一、摘要 (1) 二、设计目的 (1) 三、设计任务及要求 (1) 1.设计要求 (1) 2.设计任务 (2) 四、八路抢答器电路的设计及原理 (2) 1.设计思路 (2) 2.总电路框图 (3) 3.各模块设计方案及原理说明 (3) 3.1抢答电路 (3) 3.230秒倒计时电路 (10) 3.3报警电路 (17) 五、抢答器的总电路 (23) 六、设计心得 (24) 附录 附录1 元件明细表 (26) 附录2 元件报价表 (27) 参考文献 (28) 完整电路示图 (29)

8路抢答器 一、摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中。例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim10完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 二、设计目的 本电子设计,主要为了实现以下目的: 1.增强对数字电子技术的了解与掌握; 2.学习相关软件的使用方法; 3.熟悉优先编码器、触发器、计数器、译码电路等的应用方法; 4.熟悉时序电路的设计方法; 5.具备简单电路的设计能力。 三、设计的任务及要求 1.设计一抢答器,设计要求如下: 1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 2)给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,其对应的灯被

8位竞赛抢答器课程设计

目录 1. 原理分析(by 张潇) (2) 1.1 设计任务 (2) 1.2 性能指标 (2) 1.3 工作原理 (2) 2. 方案选择(by 张潇) (3) 2.1 方案设定 (3) 2.2 方案比较 (3) 2.3 方案选择 (3) 3. 电路原理图绘制及仿真(by 王倩) (3) 3.1 所需元器件型号及数量 (3) 3.2 电路原理图 (4) 3.3 电路仿真结果 (5) 4. Pcb图绘制(by 朱文广) (5) 4.1 pcb绘制步骤 (5) 4.2 pcb绘制原则 (5) 4.3 8路抢答器pcb图 (7) 5. 综合调试(by 朱文广) (7) 5.1 软件调试 (7) 5.2 硬件调试 (9) 6. 总结(by 王倩) (10) 附录1:电路仿真图 (11) 附录2: 8路抢答器完整程序 (12)

1.1 设计任务 以单片机为核心,设计一个8位竞赛抢答器,同时供8名选手或8个代表队比赛。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间可由主持人设定。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 1.2 性能指标 电源电压:直流5V±10% 选手组数:2-8组 初始抢答倒计时:20s 初始回答倒计时:30s 倒计时范围:1-99s可设 倒计时提示时间:最后5s 1.3 工作原理 八路数字抢答器原理框图如图1所示,其工作原理为:接通电源后,主持人未按下开始抢答,抢答器处于禁止状态,数码管显示“----”;主持人宣布“开始”同时按下开始抢答按键,抢答倒计时开始计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,回答倒计时开始计时、禁止二次抢答、数码管显示抢答选手编号以及回答剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 图1 八路抢答器原理框图

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

数电课程设计八路抢答器

《数字电子技术》课程设计 题目八路抢答器 专业班级11级通信工程三班 院(系)信息工程学院 完成时间2013 年11月28日

目录 1课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 3.1方案选择与论证 (1) 3.2抢答器的原理方框图 (2) 4 设计原理与功能说明 (3) 4.1 元器件选用原理 (3) 4.2总体电路原理 (6) 5 单元电路设计 (9) 5.1NE555接成音多谐振荡器构成的报警电路 (9) 5.2复位键与抢答键的工作原理 (9) 6 仿真与电路的连接 (9) 6.1 电路的仿真 (9) 6.2电路的连接 (10) 7 实训报告 (10)

参考文献 (12) 附件一:元器件清单 (13) 附件二:实物图 (14)

1 课程设计的目的 通过这次课程设计,主要了解简单数字电路抢答器的基本工作原理,学会设计与分析优先编码电路、数码显示电路、报警电路,在巩固数电知识的基础上,提高自身逻辑思维能力,拓展实际操作能力,同时学会利用团队力量解决某些技术难关。从而正确设计出各个单元电路,并简单掌握电路仿真技术。 2 课程设计的任务与要求 设计一个八路抢答器,以CD4511集成芯片为核心原件来实现功能要求,在抢答过程中,可以更好的精确选手抢答的先后。此抢答器主要运用于竞赛活动中。 此抢答器可以容纳八个选手,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。[1] 3 设计方案与论证 3.1 方案选择与论证 八路抢答器的关键部分是数字优先编码电路、锁存/译码/驱动电路,由数码显示电路和报警电路组控制信号的产生。下面列出两种方案:方案一: 该方案采用了74LS148来实现抢答器的选号,采用了74LS279芯片实现对号码的锁存,采用了74LS192实现十进制的减法计数,555芯片产生秒脉冲信号来共同实现倒计时,采用了74LS121单稳态芯片来实现报警信号的输

基于单片机的八位抢答器课程设计报告

单片机课程设计报告 题目:电子抢答器系统设计 学院:电气信息学院 专业:通信工程 姓名: 学号: 指导老师:孙晓玲 一、设计任务 设计一个八路的电子抢答器系统,实现功能为:可供8个选手使用,可显示30s 倒计时,并可显示出抢到的选手号,并伴有提示音。 要求:(1)设计出硬件电路; (2)设计出软件编程方法,并写出源代码; (3)用PROTEUS进行仿真; 二、方案设计 1.设置一个定时开关,开关按下后开始30s倒计时,在定时开关按下之前进行抢答无效,使用两位数码管显示倒计时。 2.在30s内,等待八个按钮中任意一个按下,按下后使用一位数码管显示按下的选手号,同时蜂鸣器发出响声。 3.一旦有选手按下后,其他选手再按下均无效,同时30s倒计时停止计时,等待复位信号。 三、硬件设计 (一)选用AT89C51单片机芯片 单片机(SCM)是单片微型计算机(Single Chip Microcomputer)的简称。它是把中央处理器CPU、随机存储器RAM、只读存储器ROM、I/O接口电路、定时/计数器以及输入输出适配器都集成在一块芯片上,构成一个完整的微型计算机。它的最大优点是体积小,可放在仪表内部。但存储量小,输入输出适配器简单,功能较低。目前,单片机在民用和工业测控领域得到最广泛的应用,早已深深地融入人们的生活中。 简单的说,用单片机系统来设计抢答器,实现两组的抢答时间即使是相差几微秒,也可分辨出哪组优先答题。

P0端口(P0.0-P0.7):P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3端口(P3.0-P3.7): P3口管脚是一个带有内部上拉电阻的8位的双向I/O端口,可接收输出4个TTL 门电流。当P3口写入“1”后,它们被内部上拉为高电平, 并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。(二)关键电路 1.时钟电路 一般选用石英晶体振荡器。此电路在加电大约延迟10ms后振荡器起振,在XTAL2引脚产生幅度为3V左右的正弦波时钟信号,其振荡频率主要由石英晶振的频率确定。电路中两个电容 C1,C2的作用有两个:一是帮助振荡器起振;二是对振荡器的频率进行微调。C1,C2的典型值为30PF。 单片机在工作时,由内部振荡器产生或由外直接输入的送至内部控制逻辑单元的 时钟信号的周期称为时钟周期。其大小是时钟信号频率的倒数,常用fosc表示。如时钟频率为12MHz,即fosc=12MHz,则时钟周期为1/12μs。 2.复位电路 AT89C51的复位由外部的复位电路实现。复位电路通常采用上电自动复位和按钮复位两种方式。本次设计采用按钮复位方式。 单片机的第9脚RST为硬件复位端,只要持续4个机器周期的高电平即可实现复位,硬件复位后的各状态可知寄存器以及存储器的值都恢复到了初始值。 3.数码管显示电路 本次课程设计采用了7SEG-MPX2-CC 的两位7段共阴极数码管,用来显示30s倒计时,和7SEG-MPX1-CC的一位7段共阴极数码管,用来显示抢答中的选手号码。位选端分别与P2口的第七位,第六位以及第零位相接。同时7段数码管线段通过上拉电阻接power,实现数码管的点亮。 4.报警电路 这里能利用程序来控制单片机P3.7口线反复输出高电平或低电平,即在该口线上产生一定频率的矩形波,接上扬声器就能发出一定频率的声音,再利用延时程序控制“高”“低”电平的持续时间,就能改变输出频率,从而改变音调,使扬声器发出不同的声音。 5.按钮输入电路

八位竞赛抢答器课程设计报告

目录摘要 (2) 1 设计意义及要求 (3) 1.1 设计意义 (3) 1.2 设计要求 (3) 4 4 4 4 5 5 6 7 7 8 49 9 4.2 C语言源程序 (10) 5 软件仿真调试 (20) 6 总结 (21) 7 参考文献 (22)

摘要 数字技术作为当今世界上发展最快的一门科学,已被广泛地应用于计算机、自动控制、电子测量仪表、通信等各个领域。例如,在生活中,很多关于智力竞赛的电视节目的抢答环节就很好的应用了数字技术。 关于竞赛抢答器的设计,最重要的是完成当参赛选手们可以开始抢答后,每次只可能有一个人抢答成功这一功能。本实验设计中,以八位竞赛抢答器

八路竞赛抢答器设计 1 设计意义及要求 1.1 设计意义 在很多关于智力竞赛的电视节目上,经常会出现抢答环节,参赛选手们 30秒),当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,持续时间0.5S左右。 5.参赛选手在设定的时间内进行抢答,抢答有效,定时器工作停止,显示器上显示选手的编号和抢答的时间并保持到主持人将系统清除为止, 6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

2 方案设计 2.1 设计思路 2.1.1 模块化设计框架图 块集成了四个D触发器的74LS175芯片。 (3)数据编码部分的实现 编码部分是对锁存的数据进行编码,由于只有八个参赛选手,故只需要用八个不同的数字便可以代表所有的参赛选手,只要采用能对八位数据进行编码并能有八种或八种以上的输出的编码器即可达到要求。 (4)数据显示部分的实现 对于这样的一个仅需要显示数字的设计,很容易联想到使用七段数码管来

八人抢答器的课程设计

《数字电子技术基础》课程设计题目:八路抢答器

一、计设计任务及要求: 1.设计多路竞赛抢答器 2.抢答器至少控制6 人抢答; 3.设置一个主持人控制开关,控制抢答器的开始与清零; 4.抢答器具有锁存和显示第一个抢答者的编号,并禁止其他人抢答的功能; 5.具有30 秒倒计时显示抢答的时间控制,时间到禁止所有人抢答; 二、方案设计及论证: 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。设置一个系统清除和抢答控制开关S,该开关由老师控制。抢答器具有锁存与显示功能。 即学生按动按钮,锁存相应的编号,并在LED数码管上显示。学生抢答实行优先锁存,优先抢答学生的编号一直保持到老师将系统清除为止。抢答器具有定时抢答功能,且一次抢答的时间由老师设定(如30秒)。当老师启动"开始"键后,定时器进行减计时。学生在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示学生的编号和抢答的时间,并保持到老师将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统禁止抢答,定时显示器上显示00。 设计方案简述 1.定时抢答器的总体框图如下图所示,它由主体电路和扩展电路两部分组成。 主体电路完成基本的抢答功能,即开始抢答后,当学生按动抢答键时,能显示学生的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时抢答的功能。 2.定时抢答器的工作过程是:接通电源时,老师将开关置于“消除”位置,抢 答器处于禁止工作状态,编号显示器灭灯,定时器倒计时,当定时时间到,却没有学生抢答时,系统报警,并封锁输入电路,禁止学生超时后抢答。当学生在定时时间内按动抢答键时,抢答器要完成以下四项工作:优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;控制电路要对输入编码电路进行封锁,避免其他学生再次进行抢答;控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到老师将系统消零为止,当学生将问题回答完毕,老师操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

相关文档
最新文档