2-4毕业设计附录

合集下载

毕业论文附录范文

毕业论文附录范文

毕业论文附录范文《毕业论文附录范文》
附录一:调研问卷
调查题目:大学生就业观念调查问卷
1. 你对未来的就业前景有信心吗?
A. 有信心
B. 没有信心
C. 不确定
2. 你认为大学教育对你的就业帮助有多大?
A. 非常有帮助
B. 有一定帮助
C. 没有帮助
3. 你更倾向于选择哪种就业方式?
A. 国企
B. 私企
C. 创业
D. 其他
4. 你对自己的职业规划有清晰的了解吗?
A. 有
B. 没有
C. 有一定了解
5. 你认为大学应该如何帮助学生提升就业能力?
A. 加强实践
B. 提供更多实习机会
C. 开设职业规划课程
D. 其他
6. 你认为目前大学生就业困难主要原因是什么?
A. 就业市场需求不足
B. 学生素质不高
C. 就业渠道有限
D. 其他
附录二:调研结果
调查结果显示,大部分受访者对未来的就业前景持有信心,同时也认为大学教育对提升就业能力有一定帮助。

在就业方式上,私企和创业成为受访者们的首选。

然而,近半数的受访者对自己的职业规划还没有清晰的了解,这也成为大学应当加强的方面之一。

附录三:数据分析图表
[此处插入数据分析图表]
以上是本次调研的主要内容和结果,希望可以为大学生就业问题提供一些参考和思考。

(完整)自动墙壁清洗机毕业设计

(完整)自动墙壁清洗机毕业设计
(和估计值接近)
使用系数KA由表12。9,,
动载系数Kv,由图12.9,
齿间载荷分配系数
由此得
齿向载荷分布系数 ,由表12。11,
载荷系数 ,
弹性系数 ,由表12。12,
节点区域系数 ,由图12.16,
重合度系数 ,由式12.31,因 故
螺旋角系数 ,
接触最小安全系数 ,由表12.14,得 (一般可靠)
该传动装置从电动机到工作机有三轴,依次为Ⅰ、Ⅱ、Ⅲ轴,则:
3。3.1各轴转速
式中: —为电动机满载转速,r/min;
、 、 —分别为Ⅰ、Ⅱ、Ⅲ轴转速,r/min;Ⅰ为高速轴,Ⅲ为低速轴。
3.3.2各轴功率
式中: Pd—为电动机输出功率,KW;
PⅠ、PⅡ、PⅢ—分别为Ⅰ、Ⅱ、Ⅲ轴输入功率,KW;
3。3.3各轴转矩
2方案评价与选择
2.1高楼外墙清洁机的结构和工作
现代高楼外墙自动清洗机的结构主要分为下面几种:
2.1.1设置于顶楼的支撑突梁
以下为一些常见的支撑突梁:
(1)固定式如图2.1所示:
(A)F型突梁(B)L型突梁
图2.1 固定式突梁
(2)活动式:
设有活动滑轨,如图2.2为一种滑轨:
图2。2 滑轨
滑轨式的作动情形如图2。3所示洗大楼窗户、外墙等外部结构,然而碍于在清洗大楼时清洗后废水的处理,清洗的效果、效率等等,目前市面上尚无自动的大楼洗墙机。
改革开放以来,随着我国经济的高速发展,高层式建筑如雨后春笋般的拔地而起。高楼外墙的外观保养和清洁成为楼宇管理不可缺少的一部份。有需求就由市场,高楼外墙的清洁必将成为一种经济效益高,前景广阔的行业。在中国,这种行业正在逐渐兴趣。我国高墙清洁主要采取两种形式.

毕业论文(设计)要求

毕业论文(设计)要求

毕业论文(设计)基本要求1.文献检索:查阅与课题有关的近3~5年文献,其中含有使用计算机检索;2.文献综述、开题报告:要认真阅读指导教师指定的和自选的与研究课题有关的有代表性的参考文献资料:理、工、农、医类15篇以上,其他学科20篇以上,写出3千字左右的文献综述、开题报告。

3.外文阅读与翻译:与研究课题有关的外文参考资料阅读量不少于10万印刷符,外文翻译不少于1.5 万印刷字符(3.5千汉字以上)。

4.毕业论文或设计说明书正文字数:文科不少于8千字,工科不少于1.5万字篇幅的内容(含图表),理科应在1.2万字以上。

5. 毕业论文或设计要求在2010年5月1日前交指导老师。

江汉大学本科毕业论文(设计)规范化要求一、毕业论文(设计)档案材料的组成及档案袋的装袋要求1.毕业论文(设计)档案材料应包括:毕业论文(设计)任务书、开题报告,文献综述,毕业论文(设计)正本(含已录入论文或设计说明书文本、编制的程序、绘制的图纸、设计的图形(图像)作品的磁盘或光盘、作品、样机照片等),针对毕业论文(设计)课题任务的调查记录、试(实)验记录或报告,译文及原文,答辩记录,成绩评定表,学生毕业论文(设计)工作日志。

2.毕业论文(设计)文本资料均为A4幅面用纸,左边竖向装订。

按照上述所列材料的内容及顺序装入学校统一制作的毕业论文(设计)档案袋,同时认真填写档案袋面的材料清单内容。

二、毕业论文(设计说明书)结构规范及要求毕业论文(设计说明书)是学生在教师的指导下经过调查研究、科学实验或工程设计所取得成果的科学表述,其撰写在参照国家、各专业部门制定的有关标准及语法规范的同时,还应遵守以下规范:(一)毕业论文(设计说明书)结构规范及装订顺序1.封面2.题目、署名、中英文摘要及关键词3.目录4.毕业设计(论文)正文:(1)绪论(2)论文主体(3)结论(结果与分析)5.致谢6.参考文献7.附录8.封底(承诺书及签名)(二)写作基本要求1.封面、封底:由学校统一印制,学生按要求填写,指导教师负责把关。

一份完整的毕业设计说明书应包括如下主要内容范文

一份完整的毕业设计说明书应包括如下主要内容范文

一份完整的毕业设计说明书应包括如下主要内容:1.题目设计课题名称,要求简洁、确切、鲜明。

2.中外文摘要及关键词应扼要叙述本设计的主要内容、特点,文字要简练。

中文摘要约300字左右;外文摘要约250个实词左右。

关键词3-5个。

3.目录主要内容的目录。

4.前言应说明本设计的目的、意义、范围及应达到的技术要求;简述本课题在国内(外)的发展概况及存在的问题;本设计的指导思想;阐述本设计应解决的主要问题。

5.正文6.结论概括说明本设计的情况和价值,分析其优点、特色,有何创新,性能达到何水平,并指出其中存在的问题和今后的改进方向。

7.谢辞简述自己通过本设计的体会,并对指导老师和协助完成设计的有关人员表示谢意。

8.参考文献应列出主要参考文献。

9.附录将各种篇幅较大的图纸、数据表格、计算机程序等作为附录附于说明书之后。

二、毕业论文撰写的主要内容与基本要求1.题目题目应该简短、明确,要有概括性,让人看后能大致了解文章的确切内容、专业的特点和学科的范畴。

题目的字数要适当,一般不宜超过20字。

字体为2.中外文摘要及关键词摘要也称内容提要,应当以浓缩的形式概括研究课题的主要内容、方法和观点,以及取得的主要成果和结论,应反映整个论文的精华。

中文摘要约300字左右为宜,同时要求写出250个实词左右的外文摘要。

关键词3-5个。

摘要应写得扼要、准确,一般在毕业论文全文完成后再写摘要。

在写作中要注意以下几点:3.目录(必要时)论文编写完成后,为了醒目和便于读者阅读,可为论文编写一个目录。

目录可分章节,每一章节之后应编写页码。

4.前言前言是全篇论文的开场白,它包括:(1)选题的缘由。

(2)对本课题已有研究情况的评述。

(3)说明所要解决的问题和采用的手段、方法。

(4)概括成果及意义。

作为摘要和前言,虽然所定的内容大体相同,但仍有很大的区别。

区别主要在于:摘要一般要写得高度概括、简略,前言则可以稍微具体些;摘要的某些内容,如结论意见,可以作为笼统的表达,而前言中所有的内容则必须明确表达;摘要不写选题的缘由,前言则明确反映;在文字量上前言一般多于摘要。

毕业论文格式和版式基本规范

毕业论文格式和版式基本规范

毕业论文格式和版式基本规范附件1毕业论文格式和版式基本规毕业论文(设计)教学过程是教学计划的重要组成部分,对培养学生综合运用多学科的理论、知识与技能,进行分析、解决实际问题的能力,掌握现代设计方法等具有重要的意义。

为保证我校本科生毕业论文(设计)质量,使学生的论文符合国家及各专业部门制定的有关标准,特制定如下格式和版式的基本规。

一、毕业设计说明书(论文)的书写、装订要求1.文字通顺简练、说明透彻、层次分明、数据可靠、推理严谨、立论正确、避免使用文学性质的带感情色彩的非学术性词语。

2.毕业设计说明书(论文)的撰写用纸规格为A4。

正文书写用小四号宋体,正文版面为38行*38字,行距为固定值18磅。

3.论文标题用二号黑体,标题之前空一行,与其下容提要之间空一行。

正文中标题层次一律采用阿拉伯数字分级连续编号,例如:一级标题1,二级标题1.1,三级标题1.1.1,四级标题1.1.1.1,一般不宜设五级标题。

标题顶左格书写,一级标题采用三号黑体;二级标题采用小三号黑体;三级标题采用四号黑体。

标题字数在15字左右。

4.页眉、页脚、页码文字均采用小五号宋体,页眉居中为“八一农垦大学毕业论文(设计)”;页眉下横线为上粗下细文武线(2磅);页码为“-n-”,采用单面复印且页码排在页脚居中位置。

摘要、目录、前言等正文前部分的页码用罗马数字单独编排,正文的页码用阿拉伯数字编排。

页码位置放置在页面右下角(全部单面打印),偶数页放置在页面的左下角(双面打印时)。

5.文中表格均采用标准表格形式(如三线格),文中的图、表、附注、参考文献、公式一律采用阿拉伯数字连续编号。

图表标题采用五号黑体,置于表上方;表格中文字、图例、说明采用五号宋体;图表附注用小五号宋体。

6.“注释”用五号黑体,注释为尾注时用五号宋体,与正文之间空2行;注释为脚注用小五号楷体,与本页正文之间用短横线分开;“参考文献”用五号黑体,其前空2行,其后空0.5-1行,容用五号宋体;7..英文标题用四号“Times New Roman”粗体,其前空2行,其后空1行,英文容提要用五号“Times New Roman”体;8.论文的封面、装订封面统一格式(见附件3)。

论文格式要求--论文统一格式

论文格式要求--论文统一格式

论文格式要求--论文统一格式一、规范化要求的内容(一)毕业设计(论文)结构1、标题2、摘要(中、英文)3、目录4、正文5、参考文献(二)对以上内容要求1、标题标题数字不超过20个字。

2、摘要中文摘要500字以内,英文摘要300单词左右,关键词为3—5个。

详见附录一、二。

3、目录要求写到二级目录,在章节名右侧注上页码号。

详见附录三。

4、正文正文内容序号为:一、二、三、……;(一)、(二)、(三)、……;1、2、3、……;(1)、(2)、(3)、……。

详见附录四。

5、参考文献正文中应该顺序在引用参考文献处的文字右上角用[ ]标明,[ ]中序号应与正文后列出的“参考文献”中序号一致。

参考文献按著录/题名/出版事项顺序排序:期刊——作者,题(篇)名,期刊名,年份,卷号(期号)。

图书——作者,书名,版本,出版者,出版日期,页码。

详见附录五6、图表正文中的图、表要有编号,图序及图名置于图正下方,表序及表名置于表左上方。

详见附录六、七。

(三)文本格式要求纸型:a4; 页码:居中、底部; 页边距:上:2.5cm 下:2.5cm 左:3cm 右:2.5cm;字体:正文宋体、小四,章节标题宋体、小三;行距:固定值20;装订:左侧。

毕业设计(论文)中的图表、参考文献序号一律采用阿拉伯数字编号。

二、附录附录一:毕业设计(论文)中文摘要示例附录二:毕业设计(论文)英文摘要示例附录三:毕业设计(论文)目录示例附录四:毕业设计(论文)正文示例附录五:毕业设计(论文)参考文献示例附录六:毕业设计(论文)正文插图示例图1 xx xx xx xx (宋体、小四)附录七:毕业设计(论文)正文插表示例。

毕业论文(毕业设计)的规范要求

毕业论文(毕业设计)的规范要求

毕业论文(或毕业设计)的规范要求1.毕业论文(或毕业设计)一般应按《厦门大学本科毕业论文规范》(见附件一)的要求进行撰写和打印;2.毕业论文(或毕业设计)必须有毕业论文任务书、分阶段进度安排和教师分阶段指导记录;此部分内容须手写。

(1)毕业任务书的填写要求:A.毕业论文任务书一般由学生填写;B.支持条件一栏应填本人的研究基础、学校的师资条件、资料条件及其他硬件条件。

(2)教师分阶段指导意见由指导教师手写,根据实际指导情况进行填写,应该包括学生在论文写作过程中遇到的问题、教师如何指导学生解决问题等。

(3)教师评语一栏一定要由指导教师手写,应该包括对论文选题、观点、论文结构与行文、使用文献资料或仪器设备进行实验的能力、写作能力、研究能力及工作态度等方面进行评价。

(4)答辩记录一栏可以由答辩委员会秘书或主席填写,答辩记录应该包括对学生论文、教师评语的评价和对考生答辩过程的评价,应详细记录学生对论文陈述与回答问题的情况等。

(5)总评一栏应该填写系主任对论文答辩情况进行确认,并确定学生论文的最终成绩。

3.相关栏目一般采用黑色水笔填写,便于存档。

4.如需要对表格内容进行修改,必须在修改处签名。

5.毕业论文(或毕业设计)装订的顺序为:A.封面B.毕业论文任务书、分阶段进度安排、教师分阶段指导记录C.毕业论文(或毕业设计)正文(含论文最新的电子版的封面、内容摘要、目录、正文、参考文献、致谢语等)D.毕业论文统一用八开的封面前后包夹进行装订。

6、毕业论文的题目和提交的成绩单的题目要一致。

附件一:厦门大学本科毕业论文规范1、文科类各专业毕业论文的写作程序大体分为六个阶段:(1)确定导师;(2)与导师讨论并选题;(3)阅读文献、收集资料;(4)拟定写作提纲;(5)撰写和提交初稿,与导师讨论和修改;(6)定稿和导师审阅。

文科各专业的毕业论文要求论题明确、资料翔实、论证严谨、语言文字流畅简练、结构合理、理论联系实际、观点正确或有一定的独到见解;一律采用文内图表,引文出处和注释一律采用文尾注。

毕业设计说明书格式要求及模板

毕业设计说明书格式要求及模板

毕业设计说明书格式要求及模板一、主要框架和基本要求毕业设计说明书由前置部分、主体部分和后置部分三大部分组成。

前置部分由封面、摘要、关键字和目录四部分组成,主体部分由绪论(引言)、正文、结论三大部分组成,后置部分由参考文献、致和附录三大部分组成。

1、毕业设计说明书的前置部分(1)封面。

封面是毕业设计的门面,提供毕业设计说明书的相应信息。

一般应包括以下四项容。

标题:标题又称题目,是以最恰当、最简明的词语反映毕业设计中最重要特定容的逻辑组合,标题的字数一般不超过20个字。

类别:标明毕业设计的类别,如产品设计、工艺设计、方案设计等。

署名:标明毕业设计说明书作者及指导教师。

专业名称:标明毕业设计说明书作者主修专业名称。

使用学校统一封面,样式根据学校具体要求,封面上的所有有关信息填写准确、完整、清晰。

(2)摘要。

摘要是毕业设计说明书不加注释和评论的简短述,应以第三人称述。

摘要应具有独立性和自含性,即不阅读设计说明书的全文,就能获得必要的信息,摘要的容应包含与设计等量的主要信息,供读者确定有无必要阅读全文,也供文摘等二次文献采用。

摘要一般应说明毕业设计的工作目的、实验方法、结果和最终结论等,而重点是结果和结论。

摘要中一般不用图、表、化学结构式、计算机程序,也不用非公知公用的符号、术语和非法定的计量单位。

摘要页置于中文题名页后,中文摘要一般为300汉字左右,摘要应包括关键词。

(3)关键词。

关键词是为了文献标引工作而从毕业设计说明书中选取出来用以表示全文主题容的单词或术语。

一般选取3~5个词作为关键词,关键词位于摘要下方,另起一行,左对齐,关键词间用分号分隔,最后一个词后不打标点符号,如有可能,尽量用《汉语主题词表》等词表提供的规词。

(4)目录。

目录即毕业设计说明书的提纲,由毕业设计说明书的章(一级标题)、节(二级标题)、小节(三级标题)、参考文献、致、附录等的序号、名称和页码组成。

另起一页排在摘要页之后,章、节、小节分别按相应要求标出,用“……”联系名称与页码。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

附录一、预处理library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity signed_buma isport (X_in:in std_logic_vector(7 downto 0);---输入clk:in std_logic;--输入信号50MHzbuma:out std_logic_vector(7 downto 0));--补码输出end signed_buma;architecture arc of signed_buma issignal temp:std_logic_vector(7 downto 0);--中间信号signal b:std_logic;--中间信号beginb<=X_in(7);a:process(clk)beginif clk'event and clk='1' thenif b='1' thentemp<=(X_in(7)&(not X_in(6))&(not X_in(5))&(not X_in(4))&(not X_in(3))&(not X_in(2))&(not X_in(1))&(not X_in(0)))+'1';elsetemp<=X_in;end if ;end if;end process;buma<=temp;二、移位寄存器library ieee;use ieee.std_logic_1164.all;entity delay is --------------延时port(X_in:in std_logic_vector(7 downto 0);--滤波器输入clk:in std_logic;--输入时钟a0,a1,a2,a3,a4,a5,a6,a7:buffer std_logic_vector(7 downto 0);--寄存器a8,a9,a10,a11,a12,a13,a14,a15:buffer std_logic_vector(7 downto 0)--寄存器);end delay;architecture one of delay isbeginprocess(clk)beginif clk' event and clk='1'thena15<=a14;a14<=a13;a13<=a12;a12<=a11;a11<=a10;a10<=a9;a9<=a8;a8<=a7;a7<=a6;a6<=a5;a5<=a4;a4<=a3;a2<=a1;a1<=a0;a0<=X_in;end if;end process;end one;三、加法与地址码形成单元VHDL代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;use ieee.std_logic_arith.all; --输入预加和地址码产生entity Address isport(a0,a1,a2,a3,a4,a5,a6,a7:in std_logic_vector(7 downto 0);--输入寄存器a8,a9,a10,a11,a12,a13,a14,a15:in std_logic_vector(7 downto 0);--输入寄存器clk:in std_logic;--输入时钟y0,y1,y2,y3,y4,y5,y6,y7,y8:out std_logic_vector(7 downto 0));--地址输出end Address;architecture arc of Address issignal b0,b1,b2,b3,b4,b5,b6,b7:std_logic_vector(8 downto 0);beginb0<=(a0(0)&a0)+(a8(0)&a8);b1<=(a1(0)'&a1)+(a9(0)&a9);b2<=(a2(0)&a2)+(a10(0)&a10);b3<=(a3(0)&a3)+(a11(0)&a11);b4<=(a4(0)&a4)+(a12(0)&a12);b5<=(a5(0)&a5)+(a13(0)&a13);b6<=(a6(0)&a6)+(a14(0)&a14);b7<=(a7(0)&a7)+(a15(0)&a15);process(clk)beginif clk 'event and clk='1'then--下面产生的8位位矢量将作为LUT的地址y0<=b7(0)&b6(0)&b5(0)&b4(0)&b3(0)&b2(0)&b1(0)&b0(0);y1<=b7(1)&b6(1)&b5(1)&b4(1)&b3(1)&b2(1)&b1(1)&b0(1);y2<=b7(2)&b6(2)&b5(2)&b4(2)&b3(2)&b2(2)&b1(2)&b0(2);y3<=b7(3)&b6(3)&b5(3)&b4(3)&b3(3)&b2(3)&b1(3)&b0(3);y4<=b7(4)&b6(4)&b5(4)&b4(4)&b3(4)&b2(4)&b1(4)&b0(4);y5<=b7(5)&b6(5)&b5(5)&b4(5)&b3(5)&b2(5)&b1(5)&b0(5);y6<=b7(6)&b6(6)&b5(6)&b4(6)&b3(6)&b2(6)&b1(6)&b0(6);y7<=b7(7)&b6(7)&b5(7)&b4(7)&b3(7)&b2(7)&b1(7)&b0(7);y8<=b7(8)&b6(8)&b5(8)&b4(8)&b3(8)&b2(8)&b1(8)&b0(8);end if;end process;end arc;四、低4位LTU程序(低通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM1 isfunction LUT1(y:in std_logic_vector(3 downto 0))return std_logic_vector;end FIR_ROM1;package body FIR_ROM1 isfunction LUT1(y:in std_logic_vector(3 downto 0))return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"52";when "0010"=>m:=x"4D";when "0011"=>m:=x"9f";when "0100"=>m:=x"23";when "0101"=>m:=x"75";when "0110"=>m:=x"70";when "0111"=>m:=x"c2";when "1000"=>m:=x"2c";when "1001"=>m:=x"7e";when "1010"=>m:=x"79";when "1011"=>m:=x"4f";when "1100"=>m:=x"cb";when "1101"=>m:=x"a1";when "1110"=>m:=x"9c";when "1111"=>m:=x"79";when others=>m:=x"000";end case;return m;end LUT1;end FIR_ROM1;高四位和低四位LUT输出数据要拼在一起,VHDL代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;use ieee.std_logic_arith.all;use work.FIR_ROM1.all;use work.FIR_ROM2.all;use work.FIR_ROM3.all;use work.FIR_ROM4.all;entity firrom isport(ADD0,ADD1,ADD2,ADD3,ADD4:in std_logic_vector(7 downto 0);ADD5,ADD6,ADD7,ADD8:in std_logic_vector(7 downto 0);clk:in std_logic;--输入时钟50MHzf0,f1,f2,f3,f4,f5,f6,f7,f8:out std_logic_vector(12 downto0));end firrom;architecture arc of firrom issignal m0,m1,m2,m3,m4,m5,m6,m7,m8: std_logic_vector(11 downto0); signalm00,m11,m22,m33,m44,m55,m66,m77,m88:std_logic_vector(11 downto 0);begin--下面的语句是把高四位输出和低四位输出拼起来m0<=LUT2(ADD0(7 downto 4));m00<=LUT1(ADD0(3 downto 0));m1<=LUT2(ADD1(7 downto 4));m11<=LUT1(ADD1(3 downto 0));m2<=LUT2(ADD2(7 downto 4));m22<=LUT1(ADD2(3 downto 0));m3<=LUT2(ADD3(7 downto 4));m33<=LUT1(ADD3(3 downto 0));m4<=LUT2(ADD4(7 downto 4));m44<=LUT1(ADD4(3 downto 0));m5<=LUT2(ADD5(7 downto 4));m55<=LUT1(ADD5(3 downto 0));m6<=LUT2(ADD6(7 downto 4));m66<=LUT1(ADD6(3 downto 0));m7<=LUT2(ADD7(7 downto 4));m77<=LUT1(ADD7(3 downto 0));m8<=LUT2BU(ADD8(7 downto 4));m88<=LUT1BU(ADD8(3 downto 0));process(clk)beginif clk'event and clk='1'thenf0<=(m0(11)&m0)+(m00(11)&m00);f1<=(m1(11)&m1)+(m11(11)&m11);f2<=(m2(11)&m2)+(m22(11)&m22);f3<=(m3(11)&m3)+(m33(11)&m33);f4<=(m4(11)&m4)+(m44(11)&m44);f5<=(m5(11)&m5)+(m55(11)&m55);f6<=(m6(11)&m6)+(m66(11)&m66);f7<=(m7(11)&m7)+(m77(11)&m77);f8<=(m8(11)&m8)+(m88(11)&m88);end if;end process;end arc;--低四位补码(低通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM2 is--程序包function LUT1BU(y:in std_logic_vector(3 downto 0))--函数体return std_logic_vector;end FIR_ROM2;package body FIR_ROM2 isfunction LUT1BU(y:in std_logic_vector(3 downto 0))return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"fae";when "0010"=>m:=x"fb3";when "0011"=>m:=x"061";when "0100"=>m:=x"fdd";when "0101"=>m:=x"f58";when "0110"=>m:=x"f5d";when "0111"=>m:=x"f0b";when "1000"=>m:=x"fd4";when "1001"=>m:=x"f82";when "1010"=>m:=x"f87";when "1011"=>m:=x"fb1";when "1100"=>m:=x"f35";when "1101"=>m:=x"f5f";when "1110"=>m:=x"f64";when "1111"=>m:=x"f12";when others=>m:=x"000";end case;return m;end LUT1BU;end FIR_ROM2;-----高四位(低通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM3 isfunction LUT2(y:in std_logic_vector(3 downto 0)) return std_logic_vector;end FIR_ROM3;package body FIR_ROM3 isfunction LUT2(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"095";when "0010"=>m:=x"103";when "0011"=>m:=x"198";when "0100"=>m:=x"15f";when "0101"=>m:=x"1f4";when "0110"=>m:=x"262";when "0111"=>m:=x"1f7";when "1000"=>m:=x"193";when "1001"=>m:=x"228";when "1010"=>m:=x"296";when "1011"=>m:=x"2f2";when "1100"=>m:=x"22b";when "1101"=>m:=x"387";when "1110"=>m:=x"2f5";when "1111"=>m:=x"38a";when others=>m:=x"000";end case;return m;end LUT2;end FIR_ROM3;--高四位补码(低通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM4 isfunction LUT2BU(y:in std_logic_vector(3 downto 0)) return std_logic_vector;end FIR_ROM4;package body FIR_ROM4 isfunction LUT2BU(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"f6b";when "0010"=>m:=x"efd";when "0011"=>m:=x"e68";when "0100"=>m:=x"ea1";when "0101"=>m:=x"e0c";when "0110"=>m:=x"d9e";when "0111"=>m:=x"d09";when "1000"=>m:=x"e6d";when "1001"=>m:=x"dd8";when "1010"=>m:=x"d6a";when "1011"=>m:=x"d0e";when "1100"=>m:=x"cd5";when "1101"=>m:=x"c79";when "1110"=>m:=x"c6b";when "1111"=>m:=x"b76";when others=>m:=x"000";end case;return m;end LUT2BU;end FIR_ROM4;五、低4位LTU程序(带通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM1 isfunction LUT1(y:in std_logic_vector(3 downto 0)) return std_logic_vector;end FIR_ROM1;package body FIR_ROM1 isfunction LUT1(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"5";when "0010"=>m:=x"14";when "0011"=>m:=x"19";when "0100"=>m:=x"40";when "0101"=>m:=x"45";when "0110"=>m:=x"54";when "0111"=>m:=x"59";when "1000"=>m:=x"80";when "1001"=>m:=x"85";when "1010"=>m:=x"94";when "1011"=>m:=x"c0";when "1100"=>m:=x"99";when "1101"=>m:=x"c5";when "1110"=>m:=x"d4";when "1111"=>m:=x"d9";when others=>m:=x"000";end case;return m;end LUT1;end FIR_ROM1;--低四位补码(带通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM2 is--程序包function LUT1BU(y:in std_logic_vector(3 downto 0))--函数体return std_logic_vector;end FIR_ROM2;package body FIR_ROM2 isfunction LUT1BU(y:in std_logic_vector(3 downto 0))return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"fae";when "0010"=>m:=x"fb3";when "0011"=>m:=x"061";when "0100"=>m:=x"fdd";when "0101"=>m:=x"f58";when "0110"=>m:=x"f5d";when "0111"=>m:=x"f0b";when "1000"=>m:=x"fd4";when "1001"=>m:=x"f82";when "1010"=>m:=x"f87";when "1011"=>m:=x"fb1";when "1100"=>m:=x"f35";when "1101"=>m:=x"f5f";when "1110"=>m:=x"f64";when "1111"=>m:=x"f12";when others=>m:=x"000";end case;return m;end LUT1BU;end FIR_ROM2;-----高四位(带通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM3 isfunction LUT2(y:in std_logic_vector(3 downto 0)) return std_logic_vector;end FIR_ROM3;package body FIR_ROM3 isfunction LUT2(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"cb";when "0010"=>m:=x"122";when "0011"=>m:=x"1ed";when "0100"=>m:=x"161";when "0101"=>m:=x"22c";when "0110"=>m:=x"283";when "0111"=>m:=x"34e";when "1000"=>m:=x"18d";when "1001"=>m:=x"258";when "1010"=>m:=x"2af";when "1011"=>m:=x"2ee";when "1100"=>m:=x"37a";when "1110"=>m:=x"410";when "1111"=>m:=x"4db";when others=>m:=x"000";end case;return m;end LUT2;end FIR_ROM3;--高四位补码(带通滤波器)library ieee;use ieee.std_logic_1164.all;package FIR_ROM4 isfunction LUT2BU(y:in std_logic_vector(3 downto 0)) return std_logic_vector;end FIR_ROM4;package body FIR_ROM4 isfunction LUT2BU(y:in std_logic_vector(3 downto 0)) return std_logic_vector isvariable m:std_logic_vector(11 downto 0);begincase y iswhen "0000"=>m:=x"000";when "0001"=>m:=x"f35";when "0010"=>m:=x"ede";when "0011"=>m:=x"e13";when "0100"=>m:=x"e9f";when "0101"=>m:=x"dd4";when "0110"=>m:=x"d7d";when "0111"=>m:=x"cb2";when "1000"=>m:=x"e73";when "1010"=>m:=x"d51";when "1011"=>m:=x"d12";when "1100"=>m:=x"c86";when "1101"=>m:=x"c47";when "1110"=>m:=x"bf0";when "1111"=>m:=x"b25";when others=>m:=x"000";end case;return m;end LUT2BU;end FIR_ROM4;六、树状移位寄存器VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;use ieee.std_logic_arith.all;entity ADD isport(m0,m1,m2,m3,m4,m5,m6,m7,m8:in std_logic_vector(12 downto 0);--数据输入(由查找表输出)clk:in std_logic;--输入时钟 10MHzy:out std_logic_vector(23 downto 0)--数据输出);end ADD;architecture arc of ADD issignal n0,n1,n2,n3:std_logic_vector(14 downto 0);--中间结果寄存器signal p0,p1:std_logic_vector(17 downto 0);--中间结果寄存器signal q:std_logic_vector(22 downto 0);--中间结果寄存器signal q1:std_logic_vector(23 downto 0);--中间结果寄存器beginprocess(clk)--累加进程beginif clk' event and clk='1'thenn0<=(m2(12)&m2&'0')+(m1(12)&m1(12)&m1);n1<=(m4(12)&m4&'0')+(m3(12)&m3(12)&m3);n2<=(m6(12)&m6&'0')+(m5(12)&m5(12)&m5);n3<=(m8(12)&m8&'0')+(m7(12)&m7(12)&m7);p0<=(n1(14)&n1&"00")+(n0(14)&n0(14)&n0(14)&n0);p1<=(n3(14)&n3&"00")+(n2(14)&n2(14)&n2(14)&n2);q<=(p1(17)&p1&"0000")+(p0(17)&p0(17)&p0(17)&p0(17)&p0(17)&p0);q1<=q&'0'+(m0(12)&m0(12)&m0(12)&m0(12)&m0(12)&m0(12)&m0(12)&m0 (12)&m0(12)&m0(12)&m0(12)&m0);end if;end process;y<=q1(20 downto 13);--此处输出就是滤波器的最终计算结果。

相关文档
最新文档