中国石油大学实验报告-数电设计性实验

中国石油大学实验报告-数电设计性实验
中国石油大学实验报告-数电设计性实验

中国石油大学(北京)

实验报告

班级:学号:姓名:

实验课程:

实验名称:

实验日期:

报告完成日期:

一、实验目的:

二、仪器用具:

三、实验设计:

1.设计要求:

2.真值表(写出变量设定)

3.逻辑表达式(根据所选逻辑器件写出相应表达式)4.逻辑图(根据所选逻辑器件画出相应电路图)

四、实验内容:(设计电路实现及功能验证)

五、实验结论及总结:

六、对实验中存在问题的讨论及建议:

指导教师评语:

签字:

日期:

石油大学数电实验

第一次 1. 用一片74ls00分别实现下列逻辑函数:ABC F = ABC F = B A F += B A B A F += (预习时学画出电路原理图) 2. 化简下列函数并用常用门电路实现: C B A BC A ABC ++=F J 第二次 1.用最少的门电路实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 2.用最少的门电路实现1位全加器(预习时画出电路原理图,注明所用芯片型号) 3.设A 、B 、C 、D 是4位二进制数(A 为高位),可用来表示16个十进制数。请设计一逻辑电路,使之能区分下列三种情况: (1)4X 0≤≤ (2)9X 5≤≤ (3)15X 10≤≤ (预习时画出电路原理图,注明所用芯片型号) 第三次 1.用一个3线8线译码器和最少的门电路设计一个奇偶校验电路,要求当输入的四个变量中有偶数个1时输出为1,否则为0(预习时画出电路原理图,注明所用芯片型号) 2.用4选1数据选择器74ls153实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 第四次 1.测试JK 触发器逻辑功能:74LS112是双J-K 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS112上一个J-K 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS112的内部结构及管脚分配) 2.测试D 触发器逻辑功能:74LS74是双D 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS74上一个D 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS74的内部结构及管脚分配) 第五次 1.用十进制计数器 74LS90实现六进制计数器 2.用74LS161实现10进制计数器,并用两种方法构成6进制计数器,计数循环为0000~0101。

微机原理课程设计电压报警器实验报告

南通大学电子信息学院 微机原理课程设计 报告书 课题名: 班级: 姓名: 学号: 指导老师: 日期: xxx

目录 1.设计目的 (2) 2.设计内容 (2) 3.设计要求 (2) 4.设计原理 (3) 5.硬件电路图 (3) 6.程序代码 (5) 7.程序及硬件系统调试情况 (19) 8.设计总结与体会 (19)

一、设计目的 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 二、设计内容 设计一个电压报警器,要求采集实验箱提供的0~5V的电压,当输入电压在3V以内,显示电压值,如2.42。当输入电压超过3V,显示ERR,并报警。电压值可在七段数码管显示,点阵广告屏显示或液晶屏显示。报警形式自行设计,

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

模电课程设计报告

模电课程设计实验报告课题:函数信号发生器 指导老师:________________ 学院:___________________ 班级:___________________ 姓名:___________________ 学号:___________________

日期:__________________ 一.设计目的与要求 1.1设计目的 1.设计电路产生RC桥式正弦波产生电路,占空比可调的矩形波电路,占空比可调的三角波电路,多用信号源产生电路,分别产生正弦波、方波、三角波 2.通过设计,可以将所学的电子技术应用到实际当中,加深对信号产生电路的理解,锻炼自己的动手能力与查阅资料的能力。使自己的对模电的理解更为透彻。 1.2设计内容及要求 1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。

(3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。 软件仿真部分元器件不限,只要元器件库中有即可,但需要注意合理选取。 二.单信号发生电路 2、1 RC桥式正弦波产生电路 参数计算:

器件选择: 2、2占空比可调的矩形波产生电路 参数计算: 器件选择:

2、3占空比可调的三角波产生电路 参数计算: 器件选择:

液晶电光效应实验(中国石油大学实验数据)

【数据处理】 表1 水平方向电压-透射率数据表 由上表数据画出液晶开关的电光特征曲线如下图:

由上图截取90%和10%分别得到可知液晶的阈值电压为1.00V,关断电压为1.51V 由上表数据画出液晶开关的电光特征曲线如下图:

由上图可知截取90%和10%分别得到阈值电压为0.94V,关断电压为1.44V。 图像分析: 水平方向和垂直方向图像基本走向是相同的,在0.00v~0.90v之间基本保持不变,在0.90v~1.8v之间变化很快,最后达到2.0v后基本不变达到饱和状态,透射率变为0。 但是我们可以从图像中看出,两种方法放置时他们的阀值电压和关断电压都略有区别,我们可以看出水平放置时阀值电压和关断电压都大于垂直放置的,饱和电压也有一定的区别。 2.根据光开关电光响应曲线得出液晶上升时间Δt1和下降时间Δt2。 由数字示波器得出上升时间和下降时间分别为50.0ms和

31ms。 【思考与讨论】 1.试说明液晶光开关的工作原理。 答:如图所示,在未施加驱动电压的情况下,来自光源的自然光经过偏振片P1后只剩下平行于透光轴的线偏振光,该线偏振光到达输出面时期偏振面旋转了90度。这时光偏振面与P2的透光轴平行,因而有光通过。 再施加足够的电压情况下(一般1~2V),在静电场的吸引下除了基片附近的液晶分子被基片“锚定”以外,其他液晶分子趋于平行于电场方向排列,于是,原来的扭曲结构被破坏,成了均匀结构,如图右图所示。从P1透射出来的偏振光的偏振方向在液晶传播时不再旋转,保持原来的偏振方向传播下去,到达下一个电极,这时光的偏振方向与P2正交,因而光被关断。 2.如何调节激光接收装置,使得准直激光垂直入射到液晶屏上?答:检查在静态0v供电电压条件下,透过率显示是否为100%。和未放屏幕时

汽车尾灯_数电自主实验报告

数电自主实验报告 实验名称汽车尾灯控制电路设计学号:姓名:班 (教师签名): 日期 一、实验任务 1、设计汽车尾灯控制电路; 2、进行各模块仿真实验,分析电路性能; 3、了解移位寄存器、计数器等的工作原理; 4、掌握74LS161、74LS194等芯片的使用、熟练multisim的使用。 二、实验设备 三、实验原理 (1)实验原理图 汽车尾灯控制电路原理图如图1所示。

图1中按下左上角A\B\C\D四个开关分别控制刹车Ss\停车St\左转弯SL\右转弯SR,对应着右边X4~X6、X7、X1~X3七个灯的状态。左下角的74LS161为四进制计数器,产生(0000,0001,0010,0011)四个拍;74LS194当S0S1=10时控制左灯X1~X3右移、S0S1=01时控制右灯X4~X6左移。 发现:开关模块比教材中复杂才能真正实现功能:刹车时中间灯不亮两边亮(且不管左右转弯状态)、紧急状态时所有灯全闪烁。 (2)74LS161分析 结果:74LS161确实四进制计数器,产生Q0Q1=00、10、01、11的循环,Q2控制每四个节拍产生一个脉冲,经反相器使复位端CLR清零一次。 发现:multisim中74LS161为下降沿触发,与教材不同,故需接反相器。

(3)整个系统仿真分析: 左转弯时检测如下。 分析:74LS161\74LS194的输出如图,确实能带动左灯X1~X3右移闪烁。 发现:194Q3瞬间脉冲电压也能点亮灯泡。 右转弯时检测结果如下。 分析:74LS161\74LS194的输出如图,确实能带动右灯X4~X6左移闪烁。

四、实验内容与步骤 1、左转弯:按下C键,左灯X1~X3右移闪烁。 2、右转弯:按下D键,右灯X4~X6左移闪烁。 3、紧急转态:同时按下C和D键,所有灯按一定频率闪烁。 发现:左右灯和中间的灯闪烁频率是不一样的。中间灯闪烁的更快。

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

模电实验

模拟电子技术实验第十一次实验 波形发生电路 实验报告 2016.12.22 . .

. . 一、 实验目的 1、 学习用集成运放构成正弦波、方波和三角波。 2、 学会波形发生电路的调整和主要性能指标的测试方法。 二、 实验原理 由集成运放构成的正弦波、方波和三角波发生电路有多种形式,本实验采用 最常用且比较简单的几种电路来做分析。 1、 RC 桥式正弦波振荡电路 下图所示为RC 桥式正弦波振荡电路。其中RC 串并联电路构成正反馈支路, 同时起到选频网络的作用。R1、R2、Rw 及二极管等元件构成负反馈和稳幅环节。调节电位器Rw ,可以改变负反馈深度,以满足振荡的振幅条件和改善波形。利用两个反向并联二极管D1、D2正向电阻的非线性特性来实现稳幅。D1、D2采用硅管(温度稳定性好),且要求特性匹配,才能保持输出波形正、负半周对称。R3的接入是为了削弱二极管非线性的影响,以改善波形失真。 电路的振荡频率:12o f RC π= 起振的幅值条件:12f R R ≥ (具体推导见书第406页) 其中23(//)f w D R R R R r =++,D r 是二极管正向导通电阻 调整反馈电阻Rf (调Rw ),使电路起振,且波形失真最小。如不能起振,则

. . 说明负反馈太强,应当适当加大Rw ;如波形失真严重,则应当适当减小Rw 。 改变选频网络的参数C 或R ,即可调节振荡频率。一般采用改变电容C 作频率量程切换,而调节R 作量程的频率细调。 2、 方波发生电路 由集成运放构成的方波发生电路和三角波发生电路,一般均包括比较电路和 RC 积分电路两大部分。下图所示为由迟滞比较器及简单RC 积分电路组成的方波-三角波发生电路。它的特点是线路简单,但三角波的线性度较差。主要用于产生方波,或对三角波要求不高的场合。 电路振荡频率:211 22ln(1)o f f f R R C R =+ 式中11''w R R R =+,22'''w R R R =+ 方波输出幅值:om Z V V =± 三角波输出幅值:212 CM Z R V V R R =+ 调节电位器Rw (即改变R2/R1,),可以改变振荡频率,但三角波的幅值也随之变化。如要互不影响,则可以通过改变Rf 或Cf 来实现振荡频率的调节。 3、 三角波和方波发生电路 如把迟滞比较电路和积分电路首尾相接形成正反馈闭环系统,如下图所示, 则比较电路A1输出的方波经积分电路A2积分可以得到三角波,三角波又触发比较器自动翻转形成方波,这样既可构成三角波、方波发生电路。

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

直流稳压电源设计实验报告

直流稳压电源设计实验报 告 Prepared on 22 November 2020

实训报告 题目名称:直流稳压电源电路 系部:电气与信息工程系 专业班级:机制 14-3 学生姓名:郭欣欣 学号: 指导教师:刘岩 完成日期: 2018年1月17日 摘要 随着电子技术的快速发展,高性能的电子电路对于电源供电质量的要求越来越高,如何设计出能满足高性能电路要求的高精度电源便成为一大课题。直流稳压源为电路提供直流电压和能量,其输出电压的品质直接决定的电源性能的好坏。 本实验旨在利用交流变压器、整流环节、滤波环节和集成元件稳压电路将交流电压转化为直流电压输出,并且对衡量稳压电路性能的几种主要参数进行了测试和分析。 随着电子技术的快速发展,高性能的电子电路对于电源供电质量的要求越来 越高,如何设计出能满足高性能电路要求的高精度电源便成为一大课题。直流稳 压源为电路提供直流电压和能量,其输出电压的品质直接决定的电源性能的好坏。本实验旨在利用交流变压器、整流环节、滤波环节和集成元件LM317稳压电路将220V交流电压转化为5V直流电压输出,并且对衡量稳压电路性能的几种主要参数进行了测试和分析。 关键词:半波整流电容滤波稳压电路稳压系数纹波电压 目录 一、设计要求 (1) 二、原理分析与设计步骤 1.直流稳压电路结构的选择 (1) 2.交流变压器 (2) 3.整流电路 (2)

4.滤波电路 (2) 5.集成稳压电路 集成稳压器件LM317 (3) LM317典型接法 (4) 6.参数计算与器件选择 (4) 电路参数计算 (4) 元器件清单 (5) 三、实验步骤与测试结果 1.电路搭接与仪器调试 (6) 2.性能参数测试 稳压系数的测量 (6) 输出电阻的测量 (6) 纹波电压的测量 (7) 测量结果分析 (7) 四、实验小结 (7)

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

模电、数电实验报告

模拟电子技术实验指导书 周明编写 实验一实验台、万用表、示波器和信号发生器的使用 内容:略 实验二单级交流放大器(一) 一、实验目的 1、学习晶体管放大电路静态工作点的测试方法,进一步理解电路元件参数对静 态工作点的影响,以及调整静态工作点的方法。 2、进一步熟悉常用电子仪器的使用方法。 二、实验设备 1、实验台 2、示波器 3、计算机 4、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大的条件。 2、了解负载变化对放大倍数的影响。 四、实验内容及步骤 实验前校准示波器。 1、测量并计算静态工作点 ●按图2-1接线。 图2-1 ●将输入端对地短路,调节电位器R P2,使V C=Ec/2 (取6~7伏),测静态工作点 V C、V E、V B及V b1的数值,记入表2-1中。 ●按下式计算I B 、I C,并记入表2-1中。

表2-1 2、测量电压放大倍数及观察输入、输出电压相位关系。 在实验步骤1的基础上,把输入与地断开,接入f=1KHz 、V i =5mV 的正弦信号,负载电阻分别为R L =2K Ω和R L =∞,用毫伏表测量输出电压的值,用示波器观察输入电压和输出电压波形,并比较输入电压和输出电压的相位,画于表2-3中,在不失真的情况下计算电压放大倍数:Av=Vo/V 1,把数据填入表2-2 中: 表2-3 3、观察R C =3K ,R L =2K 时对放大倍数的影响。 在实验步骤2的基础上,把R C 换成3K ,重新测定放大倍数,将数据填入表2-4 中。 表2-4 4 、测量电压参数,计算输入电阻和输出电阻。按照图3-1接线 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1

数电自主设计实验报告——Verilog秒表

姓名班级学号 实验日期节次教师签字成绩 基于BASYS2开发板的 记忆秒表设计 一、实验目的 1、熟悉基于Verilog HDL语言输入方式的数字电路的设计方法。 2、掌握基于FPGA的设计流程。 3、熟悉BASYS2开发板的使用方法。 4、熟悉Xilinx ISE软件的使用方法。 5、培养自己独立自主设计并完成实验的能力。 二、总体设计方案或技术路线 本实验利用BASYS2开发板的已有资源来进行设计实验,并用Xilinx ISE软件来编写和综合Verilog代码。总体设计方案是设计一个带有记忆功能的秒表。具体而言,该秒表通过BASYS2开发板的50M的时钟进行分频计时,最大计时时间为99.99s,用4位数码管动态显示计时时间,除了有基本的运行、暂停及复位清空功能,还有存储当前时间和查看存储时间的功能。 三、实验电路图 BASYS2开发板原理图--数码管 板上数码管为4位共阳极数码管,每段为低电平点亮,位选接了三极管增大驱动电流,同时为非逻辑,所以位选信号为低电平有效。 BASYS2开发板原理图--按键 本实验用到了两个按键BTN0和BTN1,BTN0为复位按键,对应程序的clear信号,BTN1为存储按键,对应程序的btn[1]信号,按一次该按键数据存储一次,下一次按下时这一次存的数据将被替换掉。 BASYS2开发板原理图--开关 本实验用到了两个开关SW7和SW1,SW7为运行、暂停开关,对应程序的sw[0]信号,开关打到上方为运行,下方为暂停,SW1为显示切换开关,对应程序的sw[1]信号,在计时暂停的前提下,将开关打到上方显示出存储的时间数据。 四、仪器设备名称、型号和技术指标 硬件:BASYS2开发板 软件:Xilinx ISE(编程)、Digilent Adept(下载) 五、程序流程图 六、程序源代码 /////////////////////////////////////////////////////////程序文件 `timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////////

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

实验五数字频率计设计

实验项目名称:数字频率计设计 姓名:雷锋一号学号:123456789 班级:通信121 实验时间:星期四晚上 姓名:雷锋二号学号:123456789 班级:通信121 实验地点: 407 一、实验目的 1. 掌握单片机片内定时器的使用方法。 2. 掌握基于单片机片内定时器的数字频率计设计方法。 二、实验内容 基于单片机片内定时器的数字频率计设计。要求: (1)测频率范围:10Hz ~ 10K Hz。为保证测量精度分为三个频段: 10Hz ~ 100 Hz 100Hz ~ 1K Hz 1 K Hz ~ 10K Hz 当信号频率超过规定的频段上限时,设有超量程指示。三个频段之间用手动切换。 (2)输入波形:低频函数信号发生器输出的矩形波,幅度为3V 。 (3)测量误差:σ≤±1%。 (4)显示和响应时间: 测量结果用三位半导体数码管显示,要求显示数码稳定清晰。三个频段的最大显示数分别为99.9 Hz,999. Hz,9.99 K Hz,为此需要控制小数点位置,并用两个发光二极管分别显示频率单位:Hz 或K Hz,详见表1。 三、实验说明 通过本实验,掌握单片机片内定时器的使用方法,了解数字频率计的测量原理及测量电路设计方法。掌握基于单片机的数字频率计工作原理与设计方法。 必须用模块化方法进行C语言程序设计。

四、实验仪器和设备 PC机、Keil uVision2软件,C8051F020单片机,EC3在线仿真器。 五、实验原理 频率测量的方法常用的有测频法和测周法两种。 (1)测频法 测频法的基本思想是让计数器在闸门信号的控制下计数1秒时间,计数结果是1秒内被测信号的周期数,即被测信号的频率。若被测信号不是矩形脉冲,则应先变换成同频率的矩形脉冲。测频法的原理框图如图6所示。 图中,秒脉冲作为闸门信号,当其为高电平时,计数器计数;低电平时,计数器停止计数。显然,在同样的闸门信号作用下,被测信号的频率越高,测量误差越小。当被测频率一定时,闸门信号高电平的时间越长,测量误差越小。但是闸门信号周期越长,测量的响应时间也越长。 例如,闸门信号高电平时间为1秒,被测信号频率的真值为2Hz,如图2-2-2所示。由 图6 频率测量原理框图 图可知,无论被测信号的频率是多少,测量时可能产生的最大绝对误差均为±1Hz,即 f测-f真=±1Hz 所以,最大相对误差为 σmax=(f测-f真)/ f真=±1/ f真 由上式可知,在闸门信号相同时,测频法的相对误差与被测信号的频率成反比。因此测频法适合于测量频率较高的信号。 f真=2 图7 测频法的误差 (2)测周法 当被测信号频率较低时,为保证测量精度,常采用测周法。即先测出被测信号的周期,再换算成频率。测周法的实质是把被测信号作为闸门信号,在它的高电平的时间内,用一个标准频率的信号源作为计数器的时钟脉冲。若计数结果为N,标准信号频率为f1,则被测信号的周期为 T = T1·N 被测信号的频率为 f = 1/T1·N = f1/N 利用测周法所产生的最大绝对误差,显然也等于±1个标准信号周期。如果被测信号周期的真值为T真= T1·N,则T测= T1·(N±1) σmax=(f测-f真)/ f真= T真/T测– 1=±1/(N±1)

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

相关文档
最新文档