七段数码管机器驱动七段数码管机器驱动七段数码管机器驱动

合集下载

七段式数码管简介及其VerilogHDL驱动编写

七段式数码管简介及其VerilogHDL驱动编写

图1 七段数码管的显示单元图2.1 共阴极图2.2 共阳极a b c d e f g hGnd+5va bcd e f g h七段式数码管就是使用七段点亮的线段来拼成常见的数字和某些字母。

再加上右下角的小数点。

实际上一个显示单元包含了8根控制信号线。

如上图所示,a,b,c,d,e,f,g,h 对应8根控制信号线。

一般数码管有8个如图1所示的显示单元,称为七段八位数码管。

由此引入段码和位码的概念。

段码(seg ):在本文中段码对应单独的显示单元。

或者说段码代表显示单元上显示的具体数值或符号。

位码(sel ):在本文中位码对应8个显示单元。

或者说位码代表相应位置上的显示单元被选通。

为什么数码管需要位码呢?因为数码管利用视觉暂留效应让人们觉得每一位上的数字一直保持着发亮。

其实各个位上的显示单元是按照顺序交替亮灭的,只是频率比较高,看起来就像是一直亮着的。

而位码就是用来按位循环扫描的。

段码与显示内容又是怎样对应的呢? 这就要说到显示原理了。

每一个显示单元中可以被控制亮灭的线段其实是LED 发光二极管。

他们以共阴极或者共阳极的方式接入。

如下图2.1和2.2。

a b c d ef gdclkrstData[31:0] Turn_off[7:0] Seg[7:0] Sel[2:0]如果想显示数字0,图1中的线段a,b,c,d,e,f应当点亮,g,h应该熄灭。

共阴极接入方式的话,输入端接高电平LED才会亮。

abcdefgh对应的电平状态是11111100 。

编码时顺序反过来a对应最低位,h对应最高位。

所以在共阴极接入方式下,显示数字0对应的段码是00111111,即0x3f;在共阳极接入方式下,显示数字0对应的段码是11000000,即0xc0;图2.1和2.2中的a,b,c,d,e,f, g,h称为段选线。

另一边的公共端称为位选线。

以此类推,以共阳极接入方式为例,段码与显示的数字对应关系如下表显示数字高电平信号低电平信号二进制编码段码(16进制)0 gh abcdef 11000000 C01 bc 11111001 F92 abged 10100100 A43 abgcd 10110000 B04 fbgc 10011001 995 afgcd 10010010 926 afgcde 10000010 827 abc 11111000 F88 abcdefg 10000000 809 abcdfg 10010000 90显示字母A,B,C,D,E,F分别对应段码:8’h88, 8’h83, 8’hC6, 8’hA1, 8’h86, 8’h8E;特别的,显示单元全灭对应的段码为:8’b1111_1111即是8’hFF;至此已经可以编写驱动程序的一个模块了,就是把显示数字转成段码的模块。

09设计一个能驱动七段共阴极 LED 数码管的译码电路

09设计一个能驱动七段共阴极 LED 数码管的译码电路

码电路一、设计要求:试设计一个能驱动七段共阴极LED数码管的译码电路(1)要求:输入变量A、B、C来自计数器,按顺序000~111计数。

当ABC=000时,数码管全灭;以后依次显示H、O、P、E、F、U、L七个字母。

(2)要求:输入变量A、B、C来自计数器,按顺序000~111计数。

当ABC=000时,数码管全灭;以后依次显示0、8、0、8、1、0、1七个数字。

二、设计方案:1.设计原理及设计方案选择(1)首先得到数码管的字形结构如下:(2)电路设计①HOPEFUL电路的设计由设计要求得到真值表如下:真值表1(HOPEFUL)A、B、C由计数器74LS161提供,将74LS161连接成八进制的计数器,即可使得输出A、B、C从000到111循环。

由真值表得到74LS138输出端口的连接:a= b= c= d= e=f= g= 74LS138各输出端口按以上各式与与非门连接即可。

②0808101的电路设计由设计要求得到真值表如下:从000到111循环。

由真值表得到74LS138输出端口的连接:a=d=e=f= b=c= g=74LS138各输出端口按以上各式与与非门连接即可。

2.元器件选择及参数计算原器件清单1(HOPEFUL)原器件清单2(0808101)三、设计电路:电路1 HOPEFUL电路图2 0808101四、设计总结:1.调试过程中遇到的问题这两个电路相对来说比较简单,只要掌握译码器的使用方法便能很快连接完成。

唯一遇到的问题是连接完成计数器与译码器后,数码管不显示。

2.对所遇到问题的分析、处理、解决方法我首先分析了线路的连接,发现没有问题,同时对数码管进行了测试,发现其始终不亮,因而断定是数码管链接有误,最后经同学指点,接地前应串联一电阻,最后问题得到了解决。

3.设计收获和心得体会虽然独立思考非常重要但课程设也计让我明白了同学相互讨论帮助的重要性,很多时候自己连接完电路之后总会由于思维定事认为自己连接的没有问题发现不了自己的错误,这就需要与你思维方式有差别的同学来帮助你。

七段数码管驱动电路设计

七段数码管驱动电路设计

七段数码管驱动电路设计说起这七段数码管驱动电路设计,咱们得先来聊聊它是个啥宝贝。

想象一下,那些电子钟、计算器上闪烁的数字,还有咱们游戏机上计分用的那些酷炫数字,它们背后可都离不开这七段数码管的默默付出。

今儿个,咱们就来手把手,用大白话聊聊怎么给这七段数码管搭个温馨的小窝,让它能在咱的电路世界里大放异彩。

一、初探七段数码管首先,咱们得认识这位主角——七段数码管。

它呀,就像是个简约版的霓虹灯,由七条线段(a到g)和一个小数点组成,通过不同的组合,能显示出0到9这十个数字,外加一些简单的字符。

想象一下,这七条线段就像是小朋友手里的画笔,一笔一划地勾勒出数字的模样,多有趣!1.1 挑选合适的数码管挑数码管,得看看它是共阳极的还是共阴极的。

这就像选房子,有的房子阳台朝南采光好(共阳极),有的则朝北凉爽些(共阴极)。

选对了,后续设计才省心。

1.2 理解工作原理数码管工作的秘密在于电流。

咱们通过控制哪些线段通电,哪些不通电,来“画”出不同的数字。

这就像是在玩灯光秀,开灯关灯之间,数字就活灵活现地出现了。

二、设计驱动电路接下来,就是给数码管找个好搭档——驱动电路。

这就像是给数码管找了个司机,告诉它啥时候该亮,啥时候该暗。

2.1 选择驱动芯片市面上有好多驱动芯片,比如74HC595、TM1637等,它们就像是不同类型的汽车,有的省油(功耗低),有的跑得快(驱动能力强)。

咱们得根据实际需求,挑个最合适的。

2.2 搭建电路框架搭电路就像搭积木,把电源、驱动芯片、数码管还有必要的电阻电容按规矩摆好。

电源是心脏,驱动芯片是大脑,数码管是显示屏,电阻电容则是调节器,保证电路稳定运行。

2.3 编程控制电路搭好了,还得给它编个程序,告诉它怎么工作。

这就像是在教小朋友跳舞,一步步指导它怎么迈步、转身。

编程时,咱们得设定好每个数字对应的线段组合,让数码管能按咱们的意愿显示。

三、调试与优化电路搭完,程序编好,接下来就是见证奇迹的时刻了。

7段数码管驱动芯片,7279原理与应用

7段数码管驱动芯片,7279原理与应用

HD7279A的原理与应用作者:河北科技大学电气信息学院王改名赫苏敏王忠杰摘要:详述一种专用的智能键盘和LED控制芯片HD7279A的工作原理、工作时序及其控制指令;介绍HD7279A与CPU及键盘显示器的接口电路,并给出实际接口电路的程序实例和实际应用中的注意事项。

关键词:HD7279A 接口电路接口程序HD7279A硬件电路图HD7279A是一种管理键盘和LED显示器的专用智能控制芯片。

它能对8位共阴极LED显示器或64个LED发光管进行管理和驱动,同时能对多达8×8的键盘矩阵的按键情况进行监视,具有自动消除键抖动并识别按键代码的功能,从而可以提高CPU工作的效率。

HD7279A和微处理器之间采用串行接口,其接口电路和外围电路简单,占用口线少,加之它具有较高的性能价格比,因此,在微型控制器、智能仪表、控制面板和家用电器等领域中日益获得广泛的应用。

一、引脚说明与接口电路279A的硬件电路如图1所示,它共有28个引脚。

RC引脚用于连接HD7279A的外接振荡元件,其典型值为R=1.5kΩ,C=15pF。

RESET为复位端。

该端由低电平变成高电平并保持25ms即复位结束。

通常,该端接+5V即可。

DIG0~DIG7分别为8个LED管的位驱动输出端。

SA~SG分别为LED数码管的A段~G段的输出端。

DP为小数点的驱动输出端。

HD7279A片内具有驱动电路,它可以直接驱动1英吋及以下的LED数码管,使外围电路变得简单可靠。

DIG0~DIG7和SA~SG同时还分别是64键盘的列线和行线端口,完成对键盘的监视、译码和键码的识别。

在8×8阵列中每个键的键码是用十六进制表示的,可用读键盘数据指令读出,其范围是00H~3FH。

HD7279与微处理器仅需4条接口线,其中CS为片选信号(低电平有效)。

当微处理器访问HD7279A(读键号或写指令)时,应将片选端置为低电平。

DATA为串行数据端,当向HD7279A 发送数据时,DATA为输入端;当HD7279A输出键盘代码时,DATA为输出端。

共阴极七段数码管的驱动

共阴极七段数码管的驱动

共阴极七段数码管的驱动
共阴极七段数码管是一种常见的显示器件,广泛应用于各种数字显示场合。

它由7个发光二极管和1个共阴极驱动电路组成,具有显示数字、字母和符号等功能。

共阴极七段数码管的驱动原理是通过输入不同的电压信号,控制7个发光二极管的亮灭状态,从而显示出不同的字符。

在具体的应用中,通常需要将共阴极七段数码管与单片机或其他控制器相结合,进行编程控制。

常用的驱动方式包括静态显示和动态显示两种。

静态显示方式是通过将控制信号输出到七段数码管端口,使其一直显示某一个数字或字符。

而动态显示方式则是通过快速地切换不同的数字或字符,形成流畅的动态效果。

为了实现共阴极七段数码管的驱动,需要对其进行引脚分配和信号控制等方面的设计。

同时,还需要注意电路连接和电源供应等方面的问题,以确保显示效果稳定和可靠。

- 1 -。

7段数码管显示驱动代码

7段数码管显示驱动代码

7段数码管显⽰驱动代码数码管显⽰进⾏简单的介绍,数码管显⽰原理在数电中已经给出了⽐较详细的介绍,我就不赘述了,因为我们⽤的是⾄芯的开发板,其上的数码管显⽰模块采⽤的是共阳极的数码管,为低电平有效,0-F的显⽰码依次为:数码管的输⼊有3个位选和8个段选给出,位选信号sel来控制哪个数码管先亮,段选信号seg来控制数码管显⽰什么,位选本来应该是有6个的但是为了节约资源,采⽤了3-8译码器将6根线减少到3根,节约了FPGA的引脚资源。

因为⼈眼有⼀个视觉载留,所以60HZ来扫描的时候,数码管会让⼈眼觉得是同时点亮,所以时钟要⼤于60hz下⾯是具体的代码实现:module scan_led(input wire clk_1k,input wire rst_n,input wire [31:0] d,output wire [2:0] dig,//seloutput wire [7:0] seg);reg [7:0] seg_r;reg [2:0] dig_r;reg [3:0] disp_dat;reg [2:0] count;assign dig =dig_r;assign seg =sig_r;// 时钟不能直接接全局时钟,这⾥的时钟驱动给的是1k的always @(posedge clk_1k or negedge rst_n)beginif(!rst_n)count <=3'b000;else if(count == 3'd5)count <=3'b000;elsecount <=count +1'b1;endalways @(posedge clk_1k or negedge rst_n)begincase (count)3'd0:disp_dat = d[31:28];3'd1:disp_dat = d[27:24];3'd2:disp_dat = d[23:20];3'd3:disp_dat = d[19:16];3'd4:disp_dat = d[15:12];3'd5:disp_dat = d[11:8];3'd6:disp_dat = d[7:4];3'd7:disp_dat = d[3:0];endcasecase (count)3'd0:dig_r = 3'd0;3'd1:dig_r = 3'd1;3'd2:dig_r = 3'd2;3'd3:dig_r = 3'd3;3'd4:dig_r = 3'd4;3'd5:dig_r = 3'd5;3'd6:dig_r = 3'd6;3'd7:dig_r = 3'd7;endcaseendalways @(disp_dat)begincase(disp_dat)4'h0:seg_r = 8'hc0;4'h1:seg_r = 8'hf9;4'h2:seg_r = 8'ha4;4'h3:seg_r = 8'hb0;4'h4:seg_r = 8'h99;4'h5:seg_r = 8'h92;4'h6:seg_r = 8'h82;4'h7:seg_r = 8'hf8;4'h8:seg_r = 8'h80;4'h9:seg_r = 8'h90;4'ha:seg_r = 8'h88;4'hb:seg_r = 8'h83;4'hc:seg_r = 8'hc6;4'hd:seg_r = 8'ha1;4'he:seg_r = 8'h86;4'hf:seg_r = 8'h8e;endcaseendendmodule另⼀种写法:module display1 (clk, rst_n , sel, seg);input clk;input rst_n;//两个输出,位选sel和段选segoutput reg [2:0] sel;output reg [7:0] seg;//数码管扫描需要⼀个慢时钟 clk_slow,⽽产⽣慢时钟则需要⼀个计数器 cntreg [15:0] cnt;reg clk_slow;//这个always块⽤来产⽣慢时钟clk_slowalways @ (posedge clk)beginif(!rst_n)begincnt <= 0;clk_slow <= 1; //复位时clk_slow静⽌不动endelsebegincnt <= cnt + 1; //复位结束后cnt开始计数clk_slow <= cnt[12]; //扫描没有必要⾮得是60Hz整,⼤于60Hz即可endend//下⾯这个always块⽤于扫描数码管,也就是sel循环地变化,//时钟每⼀次上升沿sel变化⼀次,所以在括号⾥写上时钟上升沿作为触发条件always @ (posedge clk_slow or negedge rst_n)beginif(!rst_n)beginsel <= 0; //复位时sel静⽌endelsebeginsel <= sel + 1; //复位后sel开始扫描if(sel >= 5)sel <= 0; //因为只有6个数码管,所以让sel在0-5之间循环endendalways @ (*)beginif(!rst_n)seg <= 8'b11111111; //按下复位键时让数码管熄灭,共阳极数码管0亮1灭elsebegincase(sel)0: seg <= 8'b11111001; //右起第1个数码管上显⽰11: seg <= 8'b10100100; //右起第2个数码管上显⽰22: seg <= 8'b10110000;3: seg <= 8'b10011001;4: seg <= 8'b10010010;5: seg <= 8'b10000010; //右起第6个数码管上显⽰6default: seg <= 8'b11111111;endcaseendendendmodule。

组合电路——7段数码管显示驱动电路设计报告

组合电路——7段数码管显示驱动电路设计报告

实验一、组合电路——7段数码管显示驱动电路设计一、实验目的了解EDA实验箱7位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验用。

二、硬件要求主芯片为Cyclone V E,型号为EP4CE22F17C8,7位八段数码管显示器,四位拨码开关。

三、实验内容用四位拨码开关产生8421BCD码,用CPLD分别产生7段数码管扫描驱动电路,然后进行仿真,观察波形,正确后编程下载实验测试。

四、实验原理1、72、动信号a,b,c,d,e,f,g。

通过调节四位拨码开关的状态,数码管应显示与之对应的字符。

五、实验连线输入:将芯片管角a0~a3分别接4个拨码开关;输出:将芯片管角led7s0~7分别接到数码管7段驱动信号a、b、c、d、e、f、g上。

六、实验源程序:decl7s.vhdlibrary ieee;use ieee.std_logic_1164.all;entity decl7s isport(a:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of decl7s isbeginprocess(a)begincase a iswhen "0000" => led7s<="0111111"; when "0001" => led7s<="0000110"; when "0010" => led7s<="1011011"; when "0011" => led7s<="1001111"; when "0100" => led7s<="1100110"; when "0101" => led7s<="1101101"; when "0110" => led7s<="1111101"; when "0111" => led7s<="0000111"; when "1000" => led7s<="1111111"; when "1001" => led7s<="1101111"; when "1010" => led7s<="1110111"; when "1011" => led7s<="1111100"; when "1100" => led7s<="0111001"; when "1101" => led7s<="1011110"; when "1110" => led7s<="1111001"; when "1111" => led7s<="1110001"; when others => null;end case;end process;end;七、波形仿真结果。

最简单的七段数码管驱动电路

最简单的七段数码管驱动电路

最简单的七段数码管驱动电路
使用数码管时经常遇到驱动的问题,笔者之前就用过三极管来或集成块来驱动数码管,但这样一来不仅增加了成本而且增加了布线难度、功耗大,带来了很多问题。

平时设计电路的时候,要求亮度是不很高的情况下,比如用来显示温度、频率等通常要求的亮度并不是很高,这个时候我们可以不用任何驱动电路,直接把数码管和单片机连接起来,别不单片机没试过,但增用过AT89S52单片机和数码直接连起来,数码管亮度中等。

完全满足普通的显示,之前设计电路的时候,看到网上说的要加驱动什么的,非常麻烦。

然而现在事实证明,不用驱动电路已经能够满足我们的要求。

实践才是硬道理,下面的电路图是经过实际测试的。

提示:单击图片可放大
(按此电路图设计的真实硬件已经测试成功,亮度中等)
图中:左边是51单片机,P0口接七段数码管的段码,并接上拉电阻(排阻)。

电源共用单片机40脚的电源(用USB供电就可以了),位选是P2口。

使用的数码管是共阴数码管。

可以是单个数码管或4个一组的数码管。

电路图中的数码是4个一组的数码管。

共12引脚、8个段码与4个位选。

注:排阻有9个引脚,其中一脚接电源。

此电路图使用Protel 99 SE
测试时:排阻为4.7k 如果选更小的亮度会更好。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

7段数码管管脚顺序及译码驱动集成电路74LS47,48 这里介绍一下7段数码管见下图7段数码管又分共阴和共阳两种显示方式。

如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。

如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。

共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。

无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。

发光二极管的工作电压一般在,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数! 74ls48引脚图管脚功能表 74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。

74ls48引脚功能表—七段译码驱动器功能表 74LS47引脚图管脚功能表:共阳数码管管脚图三位共阳数码管管脚图以及封装尺寸四位数码管引脚图以及封装尺寸六位数码管引脚图门电路逻辑符号大全(三态门,同或门,异或门,或非门,与或非门, 传输门,全加器,半加器等) 常用集成门电路的逻辑符号对照表三态门,同或门,异或门,或非门,与或非门, 传输门,全加器,半加器,基本r s触发器,同步rs触发器,jk触发器,d触发器7段数码管管脚顺序及驱动集成电路这里介绍一下7段数码管见下图 7段数码管又分共阴和共阳两种显示方式。

如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。

如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。

共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。

无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上1 0ma到15ma得数即为限流电阻的值。

发光二极管的工作电压一般在,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数! 74ls48引脚图管脚功能表 74 LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。

74ls48引脚功能表—七段译码驱动器功能表 74LS47引脚图管脚功能表:共阳数码管管脚图三位共阳数码管管脚图以及封装尺寸四位数码管引脚图以及封装尺寸六位数码管引脚图7段数码管译码驱动和计数器构成24小时计时器这里介绍一下7段数码管见下图 7段数码管又分共阴和共阳两种显示方式。

如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc 为高电平,其他段扫描输出端为低电平,以此类推。

如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。

共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。

无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。

发光二极管的工作电压一般在,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数! 74ls48引脚图管脚功能表 74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。

74ls48引脚功能表—七段译码驱动器功能表 74LS47引脚图管脚功能表:共阳数码管管脚图三位共阳数码管管脚图以及封装尺寸四位数码管引脚图以及封装尺寸六位数码管引脚图 74ls48引脚图管脚功能表作者:来源:本站原创点击数:3965 更新时间:2007年12月20日 74L S48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。

<74ls48引脚图> 74ls48引脚功能表—七段译码驱动器功能表十进数或功能输入 BI/RBO 输出备注 LT RBI D C B A a b c d e f g 0 H H 0 0 0 0H 1 1 1 1 1 1 0 1 1 H x 0 0 0 1 H 0 1 1 0 0 0 0 2 H x 0 0 1 0 H 1 10 1 1 0 1 3 H x 0 0 1 1 H 1 1 1 1 0 0 1 4 H x 0 1 0 0 H 0 1 1 0 0 1 1 5 H x 0 1 0 1 H 1 0 1 1 0 1 1 6 H x 0 1 1 0 H 0 0 1 1 1 1 1 7 H x 0 1 1 1 H 1 1 1 0 0 0 0 8 H x 1 0 0 0 H 1 1 1 1 1 1 1 9 H x 1 0 0 1 H 1 1 1 0 0 1 1 10 H x 1 0 1 0 H 0 0 0 1 1 0 1 11 H x 1 0 1 1 H 0 0 1 1 0 0 1 12 H x 1 1 0 0 H 0 1 0 0 0 1 1 13 H x 1 1 0 1 H 1 0 0 1 0 1 1 1 4 H x 1 1 1 0 H 0 0 0 1 1 1 1 15 H x 1 1 1 1 H 0 0 0 0 0 0 0 BI x x x x x x L 0 0 0 0 0 0 0 2 RBI H L 0 0 0 0 L 0 0 0 0 0 0 0 3 LT L x x x x x H 1 1 1 1 1 1 1 4 为什么把74LS90叫2-5-10进制计数器 74LS90有四个输出端,分别为Qa,Qb,Qc,Qd,为了讨论方便,我们把它分成两部分,Qa为一部分,QbQcQd为一部分.从CP0加入一个时钟脉冲,Qa的输出端为1(原态Qn=0时),再加一个时钟脉冲,它的输出端又变回到0.如果加入奇数个脉冲,它的输出总是1;加入偶数个脉冲,它的输出总是0.也就是说.加入连续脉冲后,它的输出总是在0和1之间变化,我们把这种计数方式叫模二. 再看模五的工作方式:此时QbQ cQd(每一位的位权分别是1,2,4,)构成一个五进制计数器,从CP1输入一个时钟脉冲时,Qb为1,表示记录了一个脉冲,(Qb的位权是1),加入2个时钟脉冲,Qb为0,Qc为1(Qc的位权为2),表示记录了两个数,来了3个时钟脉冲时,Qb,Qc是高电平1(Qb+Qc=3),表示记录了3个脉冲,当第4个时钟脉冲来时,Qd=1,表示记录了4个脉冲.来第5个脉冲时,计数器自动清零,准备下一次的计数.从000,001,0 10,011,100共有五种状态,因此,把它叫模五计数器. 如何构成5421码的输出仍然把时钟脉冲从CP1加入,同时将Qd的输出端与CP0接到一起,此时QaQdQcQb 每一位的位权分别是5421.来1个脉冲时,Qb=1,其它=0,来2个脉冲时,Qc=1,其它=0,来3个脉冲时,Qb=1,Qc=1,当第(Qb+QC=1+2),表示记录了3个时钟脉冲,来4个脉冲时,Qd=1,其它=0,表示记录了4个脉冲,同时由于Qd与CP0连在一起,此时CP0也是高电平,当第5个脉冲来时,QdQcQb=0,Qd从1到0,产生一个下降沿,正好作为CP0的时钟脉冲,使输出端Qa=1,表示记录了5个时钟脉冲!QaQdQcQb=1 000,在继续记录:输出端按5421码的规律:1001=5+1,1010=5+2,1011=5+3,1100= 5+4,在来一个时钟脉冲,输出端变为0000. 如何构成十进制计数器把模二的输出端Qa与模五的时钟脉冲输入端CP1连接,就构成了十进制计数器,CP0为计数器的时钟脉冲输入端.输出端QdQcQbQa的位权分别是8421。

来1个cp,Qa=1;2C P,Qb=1;3CP,QaQb=1;(Qa+Qb=3);4CP,Qc=1;5CP,QaQc=1;(Qa+Qc=5),6CP,QbQc=1; (Qc+Qb=6),7CP,QaQbQc=1 异步计数器74LS90引管脚图及功能表真值表 74LS90为中规模TTL集成计数器,可实现二分频、五分频和十分频等功能,它由一个二进制计数器和一个五进制计数器构成。

如果把二者级联后,就构成十进制计数器。

其输出端输出端输出8421码。

其引脚排列图和功能表如下所示:异步计数器7 4LS90引管脚图及功能表真值表 74ls90是常用的二-五-十进制异步计数器,做八进制的就先把74ls90接成十进制的(CP1与Q0接,以CP0做输入,Q3做输出就是十进制的),然后用异步置数跳过一个状态达到八进制计数. 74ls 90 pdf 资料下载:以从000计到111为例.先接成加法计数状态,从下图中的74LS90功能表可知,在输出为1000时(既Q4为高电平时)把Q4输出接到R01和R02脚上(即异步置0),这个时候当计数到1000时则立刻置0,重新从0开始计.1000的状态为瞬时状态.状态转化图中是0000到0111是有效状态,1000是瞬时状态,跳转从这个状态跳回到0000的状态.把74LS90计数器,74 LS48译码驱动电路和7段译码显示器(共阴)组合到一起,就很方便的构成计数译码显示电路。

相关文档
最新文档