2021年温度测量与控制电路
温度测量在轨道交通供电系统中的应用

ROAD TRAFFIC |道路交通摘要:城市轨道交通是现代城市交通系统的重要组成部分,是城市公共交通系统的骨干,已经成为人们出行的重要交通工具。
为保障轨道交通正常运营,提高供电系统运行的可靠性、安全性,对供电设备运行时的温度进行测量,从而掌握设备运行情 况,提升轨道交通供电系统设备管理水平。
关键词:轨道交通供电系统:温度测量:温度控制器:红外:光纤:铂电阻温度测量在轨道交通供电系统中的应用■文/苏静要实现轨道交通稳定运营,供电系统可靠、安全运行是 配电室内空调或通风装置进行改善。
关键。
电气设备长期过热将加速绝缘老化,影响使用寿命,对供电设备进行温度测量是及时发现隐患、查找设备故障、判断设备运行情况的直接手段。
定期监测电气设备运行温度,方便设备维护人员对设备状态做出判断,是提高供电系统运 行可靠性、安全性的必要条件,也是供电系统精细化管理的 客观要求。
对不同供电设备选择不同的测温手段,科学分析 设备运行的可靠性、安全性、经济性,能更好地保证轨道交 通供电设备安全运行。
2.供电设备表面温度测量一切温度高于绝对零度(-273.15°C)的物体都在不停 地向周围空间发出辐射红外热能,它是由物体自身的分子和 原子无规则运动而产生的。
分子和原子运动越剧烈,辐射的 能量越高;反之,越小。
红外线辐射是一种电磁波辐射,广 泛存在于自然界中,波长为0.76〜100 um。
按照波长范围划 分,红外线可以分为近红外线、中红外线、远红外线、极远 红外线4类。
红外线热能在物体表面形成一定的温度场,俗 称“热像”。
1.配电室温度测量配电室是指带有低压负荷的室内配电场所,主要功能是 为低压用户配送电能,设有中压进线(可有少量出线)、配电变压器和低压配电装置。
35k V及以下电压等级设备的 设施,分为髙压配电室和低压配电室。
高压配电室一般指 6~35k V高压开关室;低压配电室一般指10kV或35kV站用 变出线的400V配电室。
基于STM32的温度分布与可视检测系统设计

基于STM32的温度分布与可视检测系统设计作者:周小超刘建树李占妮林华来源:《赤峰学院学报·自然科学版》2021年第11期摘要:某生產设备控制器需对其表面温度进行测量,以实时掌握控制器工作温度。
为了进行表面温度多点测量,并进行可视化实时检测,研制了一种基于STM32的温度分布与可视检测系统。
STM32控制器作为系统的终端机使用,通过RS232协议与PC机进行数据传递,在PC机上基于MATLAB GUI设计系统的上位机软件,并在上位机上实现实时绘图可视化检测。
系统设计了16个温度测点,在控制器表明以4×4阵列分布,通过设计转接卡将16个传感器测点与开发板连接。
实验表明,系统运行稳定可靠,可应用于需温度采集的生产现场。
关键词:STM32;温度;RS232;MATLAB GUI;可视化中图分类号:TP216 文献标识码:A 文章编号:1673-260X(2021)11-0026-040 引言生产设备的某些组件需在合适的温度下工作,高温度会影响组件某些电子元器件不能正常工作[1-3],因此对设备中重要的组件需对其工作温度进行实时检测,并做好冷却措施。
目前市场上存在多种多样的温度采集设备[4,5],有些设备可连接多个传感器,但可将温度数据保存到存储器的设备较少,即便部分设备可将温度数据保存,但仅保存温度的数值,无法实现实时可视化采集与检测。
王子权[6]等基于STM32单片机设计实现了20路的热电偶测温,通过在STM32中移植剥夺式内核UCOSIII操作系统实现了多任务同优先级的时间片轮转调度,基于STemWin界面设计系统进行了操作界面设计,但该系统仅可将采集的温度数据保存至SD卡中,且温度检测界面不够友好,仅使用STM32控制器导致数据处理能力不足。
范虹兴[7]等设计了一种基于STM32的开关柜母线温度无线采集系统,系统的采集节点具有唯一的地址,当母线温度高于设置的报警阈值时,采集节点与中心节点同时报警,从而有效地预防了事故发生,但该系统的测点较少,采用无线设备传输数据容易造成数据缺失,无法实现对设备的实时可视化检测。
基于单片机的毕业论文题目有哪些(2021年-2022年)

基于单片机的毕业论文题目有哪些很多物联网专业的学生对单片机非常感兴趣,不光是对专业的热爱,另外由于单片机是集成电路芯片,是控制整个流程最基础的环节,大多数理科生对这种控制式设计充满着好奇,下面,我们学术堂整理了多个基于单片机的毕业论文题目,欢迎各位借鉴。
基于单片机的毕业论文题目一:1、基于单片机的压电加速度传感器低频信号采集系统的设计2、基于单片机的超声测距系统3、基于C8051F005单片机的两相混合式直线步进电机驱动系统的设计4、基于单片机的工业在线数字图像检测系统研究与实现5、基于FPGA的8051单片机IP核设计及应用6、基于单片机的军需仓库温湿度测控系统研究7、单片机多主机通信模式在粮库温湿度监控系统中的应用8、基于单片机的中小水电站闸门控制系统9、基于单片机的正弦逆变电源研制10、单片机实验教学仿真系统的设计与开发11、基于单片机的温湿度检测系统的设计12、基于单片机的蓝牙接口设计及数据传输的实现13、基于单片机的多功能温度检测系统的设计与研究14、基于单片机的温度控制系统的研究15、行为导向教学策略在职校单片机课程教学中的应用研究16、逻辑电路与单片机的虚拟实验系统设计与实现17、基于单片机的LED显示系统18、基于单片机的校园安防系统19、基于MSP430单片机的红外甲烷检测仪设计及实现20、基于高性能单片机的无线LED彩灯控制系统的设计与实现21、基于AVR单片机教学实验板的设计22、基于单片机的阀岛控制系统的研究23、基于AT89S51单片机实验开发系统设计24、基于单片机和GPRS数据传输技术的研究25、基于HCS12单片机的智能车底层控制系统研究26、单片机GPRS智能终端及远程工业监控技术研究27、基于单片机的MODBUS总线协议实现技术研究28、基于单片机的室内智能通风控制系统研究29、基于单片机的通用控制器设计与实现30、基于单片机控制的PTCR阻温特性测试系统的设计与实现31、Proteus在单片机教学中的应用32、基于单片机的变频变压电源设计33、基于单片机的监控系统控制部分的设计34、基于单片机的葡萄园防盗报警系统设计35、基于单片机的温度智能控制系统的设计与实现36、基于单片机的远程抄表系统的设计与研究37、基于单片机的温度测控系统在温室大棚中的设计与实现38、基于单片机的高精度随钻测斜仪系统开发39、基于16位单片机MC9S12DG128B智能车系统的设计基于单片机的毕业论文题目二:40、基于单片机的压力/液位控制系统的设计研究41、单片机与Internet网络的通信应用研究42、基于单片机控制的温室环境测控装置研究43、具有新型接口的MCS-51单片机实验系统设计44、基于单片机控制的直流恒流源的设计45、基于单片机的模糊控制方法及应用研究46、基于AT89S52单片机的煤矿瓦斯监测系统的研制47、基于AT89C51单片机的脉象信号采集系统研究48、基于DTMF技术的单片机远程通信系统研究49、基于单片机的GPRS无线数据采集与传输系统的设计50、基于单片机控制的柴油机喷油泵数据采集系统的设计与实现51、基于谐振技术及MK单片机的多路升压器研究设计52、基于单片机的数据串口通信53、基于单片机的智能寻迹系统设计54、压电式阀门定位器与单片机实验装置研制55、基于单片机的微型电子琴研究与实现56、基于单片机的恒温恒湿孵化器系统设计57、基于16位单片机MC9S12XS128的两轮自平衡智能车的系统研究与开发58、基于单片机的简易餐饮管理系统的设计与实现59、基于单片机的抛物槽式太阳能集热器跟踪系统设计60、基于单片机的大棚温湿度监测报警装置的研究与开发61、基于MSP430单片机的远传智能水表的设计与实现62、采用PIC单片机的真空断路器控制器设计研究63、基于IAP15F2K61S2的移动式多功能迷你单片机开发板64、基于单片机的空调红外线编解码系统的设计和实现65、基于单片机的图形化编程平台的设计与实现66、基于PIC单片机的图像数据采集系统的设计与实现67、基于单片机的仓库温湿度智能测控系统的设计与实现68、基于单片机的助爬器控制器的设计与实现69、手机和单片机控制系统的理论与应用研究70、基于FPGA的HOST与多单片机的串行通信71、基于单片机的机车试验设备数据采集器的研究72、MCS-51单片机芯片反向解剖以及正向设计的研究73、单片机自动微灌控制器的研究、设计与应用74、基于MSP430系列单片机的微机外围电路的通用化平台研究与设计75、基于CPLD的单片机结构设计研究76、单片机模糊控制晶闸管直流调压系统的研究77、模糊控制的单片机实现研究78、单片机嵌入式TCP/IP协议的研究与实现79、基于80C196KC单片机的舞蹈机器人控制系统80、基于PC+单片机的环境风洞风速控制系统的研究基于单片机的毕业论文题目三:81、单片机嵌入TCP/IP的研究与实现82、单片机系统仿真83、基于单片机的烘炉温度自动检测系统的研究与设计84、基于智能卡的预付费煤气表应用系统85、8XC196单片机集成开发环境的研制86、基于SPCE061A单片机的语音识别系统的研究87、基于嵌入式实时操作系统和TCP/IP协议的单片机测控系统88、基于单片机的电涡流式微位移传感器测量系统的研究89、基于AVR单片机的太阳光辐照测量装置研究90、基于单片机的野外信息检测记录系统的设计91、基于单片机的数据采集和无线数据传输系统设计92、基于Motorola MC68HC08系列单片机演示系统的设计与实现93、基于GSM技术的超远程无线设备监控系统研究94、微机与单片机实验平台的设计与开发95、基于单片机的TCP/IP技术研究及应用96、电渣炉单片机控制系统研究与设计97、单片机控制多功能信号发生器98、基于EDA技术的兼容MCS-51单片机IP核设计99、基于单片机的嵌入式USB主机研究与实现100、基于AVR单片机的应用设计实践101、模糊Smith智能控制方法的研究及其单片机实现102、基于单片机的直接数字频率合成(DDS)技术的应用研究103、基于单片机的机电产品控制系统开发104、基于增强型51系列单片机的TCP/IP协议栈的实现105、基于单片机的粮库温度监控系统设计106、基于VB的单片机虚拟实验软件的研究与开发107、基于单片机ATmega128的嵌入式工业控制器设计108、基于单片机控制的智能型金属探测器的设计109、基于多机通信的AVR单片机高级用户板的设计与开发110、基于单片机的数字磁通门传感器111、基于单片机的光纤光栅解调仪的研制112、MCS-51单片机构建机器人的实践研究113、基于VC的单片机软件式开发平台114、八位单片机以太网接入研究与实现115、基于单片机与Internet的数控机床远程监控系统的研发116、96系列单片机仿真器研究与设计117、单片机在中、小水电站闸门监控系统中的应用118、基于单片机大棚温湿度远程监控的设计与实现119、基于单片机和GPRS实验室安全报警监控系统研究120、基于STM32单片机的高精度超声波测距系统的设计基于单片机的毕业论文题目四:121、基于单片机的语音编码系统实现122、基于单片机的温湿度控制系统的研究与应用123、基于单片机的室内环境监测系统设计124、基于51单片机的教学实验系统的设计与开发125、基于单片机的智能控制器研究与设计126、基于8051单片机的温度控制系统127、基于单片机的超低功耗智能遥控车位锁的设计与实现128、基于单片机的智能玩具电动车的设计与实现129、基于单片机电锅炉恒温控制系统的电路设计130、基于单片机控制的离子水去污消毒装置的研究与开发131、以STM8S208单片机为主控的编程器的设计与实现132、基于单片机的温室大棚环境参数自动控制系统133、基于单片机的温室数据采集系统的研究134、基于单片机的太阳能干燥温湿度检测系统的研究135、基于单片机和FPGA的高精度智能测时仪的设计136、基于PC机和单片机主从式测控系统的设计137、基于神经元芯片和单片机双处理器结构LON节点的研究138、单片机实训课程的创新设计探讨139、AT89S52单片机实验系统的开发与应用140、基于单片机的模糊控制在节水灌溉控制系统中的实现141、基于ATmega128单片机的运动控制系统的设计与实现142、基于FPGA和单片机的CCD数据采集与处理143、基于MCS_51单片机安防系统监控主机的设计与实现144、基于单片机的超声测距仪研究与开发145、基于STC89单片机的实验教学系统146、单片机系统应用研究147、单片机在太阳能中央热水系统中的应用148、AVR单片机在试验机设备开发中的应用149、基于单片机的二维运动控制系统的研究150、基于LabVIEW和单片机的切削温度虚拟仪器的研究151、单片机编程仿真实验系统的设计与实现152、基于单片机的卫星天线自动定位控制系统开发与研究153、MC9S12系列单片机程序下载系统的设计与实现154、基于单片机控制的电动机保护器设计155、基于MSP430单片机的多路信号采集与无线传输系统的设计156、基于C51系列单片机LED驱动电源设计157、基于Synopsys的8051单片机IP核的设计158、基于单片机的大棚温湿度远程监测系统的设计159、基于单片机的室内无线环境监测系统设计与应用160、单片机控制的步进电机文检系统基于单片机的毕业论文题目五:161、基于飞思卡尔单片机的智能车及其调试系统设计162、基于单片机控制的金属探测器设计163、基于单片机的场地分类仪设计164、基于单片机的温湿度控制系统的设计165、基于AVR单片机的教学实验系统的设计与开发166、单片机温度测量和控制系统的设计与实现167、基于LabVIEW和单片机的太阳自动跟踪监控系统168、基于AVR高速单片机的以太网络终端设计169、基于AT89C52单片机温度控制系统的设计170、基于PC机与单片机的分布式禽舍环境监控系统研究171、基于单片机的昆虫加热板温度测控系统设计172、基于单片机平台下的语音识别技术应用方式研究173、基于单片机的家庭智能防火防盗系统174、基于AVR单片机的空气净化器控制系统的硬件设计与实现175、基于单片机的语音识别系统设计及实现176、基于单片机的智能物料搬运控制系统研究177、基于单片机和PC串口通信的温度采集系统设计178、基于单片机的智能家居系统的研究179、基于“教师主导-学生主体”教学模式下的单片机教学策略研究180、单片机模糊PID控制双闭环直流调速系统研究181、基于PROTEUS的单片机仿真实验系统研究及应用182、停车场引导系统的研究与实践183、基于单片机的温度检测系统的研究与实现184、基于IAP15F2K61S2单片机实验系统的设计185、基于AT89C51单片机的LED点阵显示系统设计186、基于ATmega128单片机的空气净化器控制系统设计与研究187、基于AT89C52单片机的智能微喷灌控制系统设计188、基于单片机的蔬菜大棚温度控制系统189、基于单片机的轮式机器人设计190、基于单片机的LED显示屏系统设计与PROTEUS仿真191、基于STC单片机的智能温湿度控制器的设计与实现192、基于Simulink与AVR单片机的多接口音频系统的仿真与构建193、基于单片机的定时温控系统设计与研究194、基于单片机的100kV高压直流电源的研制195、基于单片机的LED智能照明驱动及控制系统196、基于虚拟仪器的单片机实验平台开发197、基于行动导向的中职机电专业《单片机》课程教学研究198、USB接口打印机的单片机控制系统开发199、基于多核心板互换的单片机实训教学系统的设计200、基于单片机的传感器综合电路的设计。
高精度热电偶测温电路设计与分析

67测试与故障诊断计算机测量与控制■ 2021. 29 (3)Computer Measurement & Control文章编号:1671 - 4598(2021 )03 - 0067 -05DOI : 10. 16526/j. cnki. 11 — 4762/tp. 2021. 03. 014中图分类号:TP212文献标识码:A高精度热电偶测温电路设计与分析常广晖】,常书平2,张亚超1(1-海军工程大学动力工程学院,武汉430033 2.中国人民解放军63983部队,江苏无锡 214035)摘要:在工业现场影响热电偶测温精度的因素是多方面的,除热电偶本身误差外,主要是输入通道误差、冷端补偿误差和分度表非线性校正误差;围绕以上3个主要因素,设计了一种可应用于复杂工业环境的高精度热电偶温度测量电路,结合设计方案 针对于前两种因素在深入分析误差内在机理基础上给出误差计算公式;针对非线性校正误差提出一种等精度最小二乘拟合校正算 法,使用该算法可根据校正精度要求,将测温范围自动划分等精度区间与传统插值法相比,在不增加计算量的前提下大大提高了校正精度;提出的误差计算公式和非线性校正方法,对于高精度热电偶测温电路的设计具有适用性和重要的指导性,经实际应用 验证设计方法满足了复杂工业环境下高精度的测温要求。
关键词:热电偶;温度测量;非线性矫正;高精度Design and Analysis of High Precision ThermocoupleTemperature Measurement CircuitChang Guanghui , Chang Shuping , Zhang Yachao(1. College of Power Engineering , Naval University of Engineering , Wuhan 430033 , China ;2. No. 63983 UnitofPLA , Wuxi 214035, China )Abstract : There are many factors that affect the accuracy of thermocouple temperature measurement in the industrial field. In ad dition to the error of the thermocouple itself , it is mainly the input channel error , the cold junction compensation error , and the non—linear correction error of the reference table. Focusing on three main factors , high —precision thermocouple temperature measure ment circuit that can be applied in a complex industrial environment is designed. The error calculation formula is given based on the in—depth analysis of the internal mechanism of the errors for the first two factors in combination with the design scheme. An equal —precision least —square fitting correction algorithm is proposed for nonlinear correction errors. Using this algorithm, the temperature measurement range can be automatically divided into equal — precision intervals according to the correction accuracy requirements.Compared with the traditional interpolation method, without increasing the amount of calculation greatly improve the correction accu racy. The proposed error calculation formula and nonlinear correction method have applicability and important guidance for the designofhigh —precisionthermocoupletemperaturemeasurementcircuit .Ithasbeenverifiedbypracticalapplicationthatthedesign methodcan meet the requirement of high precision temperature measurement in complex industrial environmentKeywords : thermocouple, temperature measurement, nonlinear correction, high precision0引言在舰船动力装置领域,温度是需要实时监测的重要参数之一。
2021年高中物理选修二第五章《传感器》经典题(答案解析)(3)

一、选择题1.抗击新型冠状病毒期间,由于无法外出,某同学在家利用所学物理知识设计能显示拉力大小的电子健身器材,如图所示是原理图。
轻质弹簧右端和金属滑片P固定在一起(弹簧的电阻不计,P与R1间的摩擦不计),弹簧劲度系数为100N/cm。
定值电阻R0=5Ω,ab是一根长为5cm的均匀电阻丝,阻值R1=25Ω,电源输出电压恒为U=3V,理想电流表的量程为0~0.6A。
当拉环不受力时,滑片P位于a端。
下列关于这个电路的说法正确的是()A.当拉环不受力时,闭合开关后电流表的读数为0AB.当拉力为400N时,电流表指针指在0.3A处C.当拉力为400N时,电流表指针指在0.5A处D.该健身器材能测量力的范围是0~400N2.现代生活离不开智能手机,手机中有很多特殊功能需要传感器来实现。
例如当人将手机靠近耳朵附近接听电话时,手机会自动关闭屏幕从而达到省电的目的,实现这一功能可能用到的传感器为()A.光传感器和位移传感器B.磁传感器和温度传感器C.磁传感器和声传感器D.压力传感器和加速度传感器3.汽车轮胎气压自动报警装置的主要部件是压阻式压力传感器,某压阻式压力传感器的特点是压力F越大其电阻越小,现将该压力传感器R0接入如图所示电路中,开关S闭合,当压力传感器所受压力增大时,下列说法正确的是()A.R1的电功率增大B.电压表的示数增大C.电流表的示数增大D.R2的电功率增大4.如图所示的电路可将声音信号转换为电信号,该电路中右侧固定不动的金属板b与能在声波驱动下沿水平方向振动的镀有金属层的振动膜a构成一个电容器,a、b通过导线与恒定电源两极相接。
若振动膜a周期性振动,则()A.a振动过程中,a、b板间的电场强度不变B.a振动过程中,a、b板所带电荷量不变C.a振动过程中,灵敏电流计中始终有方向不变的电流D.a向右的位移最大时,a、b板所构成的电容器的电容最大5.酒精测试仪用于机动车驾驶人员是否酗酒及其他严禁酒后作业人员的现场检测。
基于单片机的小型艾灸设备设计

link appraisement
沈阳航空航天大学 自动化学院
梁添勇,男,本科,测控技术与仪器。
基金项目:辽宁省大学生创新项目(项目编号:S202010143020)
去烟去味模块部分的设计为一个圆柱体,顶部为空心永久磁铁,在艾条燃烧室下部有隔灰钢丝网,形成圆形中空腔体。
当接通电路时,其上部开始吸收艾条燃烧释放的热能,辐射,这些能量作用于磁铁,使磁铁温度上升,产生热磁能。
有磁能和艾条燃烧时热能的叠加,加上治疗区的圆形中空腔体的设计,将能量集中,同时作用于治疗的穴位,提高热能
效率。
同时在治疗过程中产生的艾烟,在将其最大限度发挥治疗功效后。
被压缩机吸入,再经过活性炭和水等过滤器过滤后,最终从排气口排出。
因为此时排出的气体是已经被净化了的气体。
使其污染程度降到了最低,不会影响空气环境。
图6 小型艾灸设备程序流程图图4 LCD显示模块
电路图
图2 供养泵控制模块电路设计图。
基于STM32的多通道温湿度测量仪设计

800×480 像素,采用 1mer_task8。
活,容易进行多点触摸,采用硬度较大的钢化玻璃材
配 置 该 任 务 的 优 先 级 为 8,堆 栈 容 量 为 128 B。
摸屏而言,电容触摸屏只需轻微的手指触碰就能激
料,使用寿命长 [16]。
和 PB11,按 键 引 脚 的 初 值 化 值 均 配 置 为 高 电 平 ,通
对应值在触摸屏上刷新,配置该任务的优先级为 9,
个 按 键 的 GPIO 分 别 为 PA11、PA12、PB8、PB9、PB10
过人机交互界面可进一步设置温湿度报警值。
1.7
功率放大电路
为提高报警器的响度,降低单片机的负载,使用
1.6
按键设计
在按键设计中,共设置了 7 个按键,其中一个为
复位按键,其余 6 个为湿度×10、湿度×1、湿度×0.1,温
度×1、温度×0.1、温度×0.01,分别表示湿度相对变化
start_task 用于创建其他任务,执行完毕后挂起,
touch_task 用于触摸屏的刷新任务,配置该任务的优
先 级 为 3,堆 栈 容 量 为 128 B。 emwin_task 用 于 人 机
本电路,留有串口电路的目的有两个:程序烧写和与
的温湿度数据进行取样,探究温湿度对高寒草甸土
上位机通信;通过按键设置温湿度的报警值;对 8 个
壤氮矿化的影响;文献[5]测量出了土壤温湿度数据,
研究了不同的覆盖方式对土壤温湿度的影响。工程
中通常采用电子仪表对土壤进行温湿度测量
[6-7]
。文
献[8]利用虚拟仪器技术,基于 51 单片机搭建了一套
values are displayed on the touch screen. The alarm value of temperature and humidity is set by keys,
K型热电偶和MAX6675简介

K型热电偶和MAX6675简介热电偶的原理及单片k型热电偶放大与数字转换器max66752021年09月21日星期五下午08:45文摘:MAX6675是Maxim公司推出的一款单片K型热电偶放大器和带冷端补偿的数字转换器。
本文介绍了该装置的特点、工作原理和接口顺序,并给出了与单片机的接口电路和温度读数转换程序。
关键词:热电偶放大器冷端补偿数字输出热电偶是一种温度传感元件,它将温度信号转换为热电动势信号并通过电气仪表表转换成被测介质的温度。
热电偶测温的基本原理是两种不同成份的均质导体组成闭合回路,当两端存在温度梯度时,回路中就会有电流通过,此时两端之间就存在seebeck电动势――热电动势,这就是所谓的塞贝克效应。
两种不同成份的均质导体为热电极,温度较高的一端为工作端,温度较低的一端为自由端,自由端通常处于某个恒定的温度下。
根据热电动势与温度的函数关系,制成热电偶分度表;分度表是自由端温度在0℃时的条件下得到的,不同的热电偶具有不同的分度表。
在热电偶回路中接入第三种金属材料时,只要该材料两个接点的温度相同,热电偶所产生的热电势将保持不变,即不受第三种金属接入回路中的影响。
因此,在热电偶测温时,可接入测量仪表,测得热电动势后,即可知道被测介质的温度。
热电偶优势热电偶是工业中常用的温度测温元件,具有如下特点:①测量精度高:热电偶与被测对象直接接触,不受中间介质的影响。
②热响应时间快:热电偶对温度变化反应灵敏。
③测量范围大:热电偶从-40~+1600℃均可连续测温。
④性能可靠,机械强度好。
⑤使用寿命长,安装方便。
热电偶的类型和结构:(1)热电偶的类型k型(镍铬-镍硅)wrn系列n型(镍铬硅-镍硅镁)wrm系列E型(镍铬铜镍)wre系列J型(铁铜镍)WRF系列T型(铜铜镍)WRC系列S型(铂铑10铂)WRP系列R型(铂铑13铂)wrq系列B型(铂铑30铂铑6)WRR系列等。
(2)热电偶的结构形式:热电偶的基本结构是热电极,绝缘材料和保护管;并与显示仪表、记录仪表或计算机等配套使用。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《电子技术》课程设计报告欧阳光明(2021.03.07)题目温度测量与控制电路学院(部)电子与控制工程学院专业电子科学与技术班级32050701学生姓名郭鹏学号3205070113指导教师(签字)前言随着数字时代的到来,人们对于温度的测量与控制的要求越来越高,用传统的水银或酒精温度计来测量温度,不仅测量时间长、读数不方便、精度不够高而且功能单一,已经不能满足人们在数字化时代的要求。
于是我们提出,测温电路利用温度传感器监测外界温度的变化,通过放大器将温度传感器接收到的信号进行放大,放大到比较有利于我们测量的温度范围,然后利用A/D转换器实现模拟信号到数字信号的转换,最后通过编程让FPGA实现8位二进制数与BCD码之间的转化,实现温度的显示;并利用比较器来实现对放大电压信号的控制,从而实现对温度的控制;再者还加载了报警装置,使它的功能更加完善,使用更加方便。
本设计是采用了温度的测量、信号放大、A/D转换、温度的显示、温度的控制、报警装置六部分来具体实现上述目的。
目录摘要与设计要求 (4)第一章:系统概述 (5)第二章:单元电路设计与分析 (5)1) 方案选择 (5)2)设计原理与参考电路 (6)1 放大电路 (6)2 低通滤波电路 (7)3 温度控制电路 (8)4 报警电路 (9)5 A/D转换器 (10)6 译码电路 (11)第三章:系统综述、总体电路图 (14)第四章:结束语 (15)参考文献 (15)元器件明细表 (15)收获与体会,存在的问题等 (16)温度测量与控制电路摘要:利用传感器对于外界的温度信号进行收集,收集到的信号通过集成运算放大器进行信号放大,放大后的信号经过A/D转换器实现模拟信号与数字信号间的转换,再通过FPGA编程所实现的功能将转换后的数字信号在数码管上显示出来,实现温度测量过程。
放大的信号可以与所预定的温度范围进行比较,如果超出预定范围,则自动实现声光报警功能,实现温度控制过程。
关键字:温度测量温度控制信号放大 A/D转换声光报警设计要求:1. 测量温度范围为200C~1650C,精度 0.50C;2. 被测量温度与控制温度均可数字显示;3. 控制温度连续可调;4. 温度超过设定值时,产生声光报警。
第一章系统概述传感器两端的电压信号变化不大,经过放大电路和滤波电路之后就会形成一个比较大的模拟量。
这个模拟量有两个电路使用,一个是AD转换器,另一个就是控制温度电路。
当被测温度超过控制温度时控制温度电路就会产生报警信号,驱动报警电路达到报警要求。
当然,控制电压分别要接另外两个AD转换器和译码显示电路,达到数码显示的要求。
整个系统的设计思路是从A/D转换器出发的,由于A/D转换器的模拟电压输入量需要一个比较大的值,所以传感器的信号要经过放大电路,由于放大电路本身以及传感器外界的干扰因素,在放大电路之后要加一级低通滤波器。
经过滤波之后的信号就是我们需要的模拟信号。
这个模拟信号分别接两个输入端,一个是A/D转换器的输入端,另一个是控制温度输入端。
A/D转换器的数字输出接译码显示电路。
系统的硬件流程图如下图所示:第二章单元电路设计与分析1)、方案选择放大电路,低通滤波电路,报警电路以及控制电路相对比较容易实现,在数据采集的过程中,采集到得八位二进制数如何转换成十二位的BCD码,实现起来有些问题,有两种方案可供选择:1采用组合逻辑电路,用74系列的加法器283先形成BCD的一位加法器,再通过级联的方式译码。
2用FPGA芯片进行编程,输入为二进制数(并不一定是二进制自然码),输出为12位BCD码。
经过比较,第二种方案可行,并且简单,方便易懂。
第一种方案很难实现,并且某一个温度所对应的AD转换器的输出量,并不一定是这个温度对应的二进制数自然码。
所以想要实现第一种方案,还要另外在AD转换器的输出端加一个八位的加法器,这样会使电路更加复杂。
而第二种方案用VHDL编写程序,简单易懂,并且器件的执行速度快,还略去了组合逻辑电路的复杂性。
数据转换器的位数也有两种方案可供选择:1采用八位数据转换器。
因为测量电路的要求是:量程为20到165;精确到0.5,这样算下来需要至少产生290个二进制数来表示温度,也就是说AD转换器至少要9位才可以。
持这种意见的同学认为,八位AD转换器虽然少了一位,但是可以用比较器额外增加一位。
2采用16位的AD转换器。
采用16位AD转换器,可以省去数模混合电路的设计,这样电路就会更加简单。
所以决定用16位AD转换器,但是只取9位。
并且只有八位译码,另外一位控制小数点后面的0.5.这样即达到了设计要求,而且还简化了译码的程序。
2):设计原理与参考电路1、放大电路:采用三级集成运算放大电路,集成运算放大器型号为LM324,LM324系列器件为差动输入的四运算放大器。
与单电源应用场合的标准运算放大器相比,它们有一些显著优点。
该四放大器可以工作在低到3.0伏或者高到32伏的电源下,静态电流为MC1741的静态电流的五分之一。
共模输入范围包括负电源,因而消除了在许多应用场合中采用外部偏置元件的必要性。
在该放大电路中,我们将传感器收集到的信号定义为VEE,因为该信号过于微弱,所以需要经过集成运算放大器进行信号放大,放大到便于我们测量的电压值,该电路采用三级集成运算放大器,放大倍数约为1248倍,经过实际测量得到了放大后的电压值如下图所示:放大电路图如上图所示:在该电路图中,放大电路的2号引线为接收传感器信号的输入端(我们将VEE定义为传感器的输入信号),第三级放大器的9号引线为放大信号的输出端,它接的是滤波电路。
2、低通滤波器如图所示:因为传感器的输入和放大器本身不可避免的会带有一些高次谐波或高频干扰信号,这会对A/D转换器的数据采集造成干扰,为了削弱高次谐波或高频干扰的场合,提高测量的精度,所以要加一级或者多级低通滤波器(仿真中为一级)。
具体电路如上图所示。
由于同向比例放大电路的电压增益为Auf=A0+R2/R1,只要将R1的电阻值设置为远大于R2的电阻值,则电压增益可忽略不计,例如:当VCC=10V时,通过放大器后的输出电压为10.002,电压增益对于整体电路的电压输出并无决定性影响,在该电路中,电源VCC实际上是从上一级放大器接收到得放大信号,而放大器接电阻R3另一端接的是译码电路。
3、温度控制电路:控制温度电路主要由两个电压比较器组成,第一个电压比较器(上方)控制的是温度下限,第二个控制的是温度上限,当温度所对应的电压超过量程时,两个电压比较器会有一个输出高电平,比较器的电源电压控制的适当,这个高电平就可以和门电路(或门)连接。
仿真时,电源电压为5V,比较器输出电压为4V。
控制温度电路的输入端接电压跟随器,这个电压跟随器再和滤波电路的输出端连接。
控制温度电路的输出端(或门输出端)直接连接报警电路。
温度控制电路图如下图所示:4、控制报警电路:在设计报警电路时,我们采用了555多谐振荡器来实现报警功能,利用一个发光二极管来实现光报警,蜂鸣器来实现声音报警,具体电路图如下图所示:在该电路图中18接的是控制电路两个比较器出来的信号,当信号大于VDD2的电压预定值,或者小于VDD1的电压预定值时,该555报警电路会自动报警,发光二极管产生光报警信号,蜂鸣器产生声音报警信号。
5、A/D转换器:采用十六位的A/D转换器,但是由于课程设计中要求的精度为0.5V,量程为20到165,也就是说小数点后面的数字不是0就是5,所以在译码时只需要将高8位译成BCD码,用第7位来控制小数0.5,当第7位为高电平时,小数部分为0.5,当第7位为低电平时,小数部分为0.而高位的8位二进制数并不一定是二进制自然码,这个问题会在译码电路中得到解决。
AD转换器的其他管脚悬空即可。
AD转换器的模拟量输入端在与放大电路连接之前要加电压跟随器,这样可以防止压降的变化,减小误差。
假设当温度为20摄氏度时,传感器的电压为0.5mV,当温度为165摄氏度时,假设温度为3.4mV(如果温度和电压值不能对应,可以改进桥式电路,或者加一个上拉电阻,使传感器的电压值增大) AD转换器如图所示:在该电路中,Vin接的是低通滤波器传过来的放大信号,D7到D15接的是译码电路,即PLD芯片,Vref+和Vref-接的是一个电压范围,即在该范围内工作,SOC为输入的脉冲信号。
6、译码电路:由于经过A/D转换器输出的为二进制数,这些二进制数在数码管上并不能完全显示,为了能很好的再数码管上显示温度,本次的课程设计采用的译码电路为FPGA芯片,利用VHDL编程来实现译码功能。
VHDL源程序如下:ibrary ieee;use ieee.std_logic_1164.all;entity BCD isport(reset: in std_logic;OE: in std_logic;Vin:in std_logic_vector(7 downto 0);Vout1: out std_logic_vector(3 downto 0);Vout2: out std_logic_vector(3 downto 0);Vout3: out std_logic_vector(3 downto 0));end entity;architecture behave of BCD issignal temp_q: integer range 0 to 199;signal temp_q0,temp_q1,temp_q2,temp_q3:integer range 0 to 99; FUNCTION vector_to_int(input :in std_logic_vector(7 downto 0))return integer isVARIABLE q,p:integer :=0;beginfor i in 7 downto 0 loopp:=0;if(input(i)='1') thenp:=2**(i-0);elsenull;end if;q:=q+p;end loop;return (q);end vector_to_int;beginprocess(reset,OE)beginif(OE='0')thenVout1<="0000";Vout2<="0000";Vout3<="0000";elsif(OE='1' and reset='1')thenVout1<="0000";Vout2<="0000";Vout3<="0000";elsetemp_q<=vector_to_int(Vin);if(temp_q>=100 )thentemp_q3<=1;temp_q0<=temp_q-100;temp_q1<=temp_q0 REM 10;temp_q2<=temp_q0-temp_q1;else if(temp_q<100 and temp_q>0)then temp_q3<=0;temp_q0<=temp_q;temp_q1<=temp_q0 REM 10;temp_q2<=temp_q0-temp_q1;end if;end if;end if;case temp_q1 iswhen 0=>Vout1<="0000"; when 1=>Vout1<="0001"; when 2=>Vout1<="0010"; when 3=>Vout1<="0011"; when 4=>Vout1<="0100"; when 5=>Vout1<="0101"; when 6=>Vout1<="0110"; when 7=>Vout1<="0111"; when 8=>Vout1<="1000"; when 9=>Vout1<="1001"; when others =>Vout1<="0000"; end case;case temp_q2 iswhen 0=>Vout2<="0000"; when 10=>Vout2<="0001"; when 20=>Vout2<="0010"; when 30=>Vout2<="0011"; when 40=>Vout2<="0100"; when 50=>Vout2<="0101"; when 60=>Vout2<="0110"; when 70=>Vout2<="0111";when 80=>Vout2<="1000";when 90=>Vout2<="1001";when others =>Vout2<="0000";end case;case temp_q3 iswhen 0=>Vout3<="0000";when 1=>Vout3<="0001";when others =>Vout3<="0000";end case;end process;end behave;分别定义FPGA的清零端reset,使能端OE,八个输入Vin0到Vin7和三个输出Vout3(3 downto 0)Vout2(3 downto 0)Vout1(3 downto 0)。