数字逻辑电路红绿灯课程设计实验报告
数字逻辑 红绿灯交通系统 设计报告

设计报告<一> 课程性质数字逻辑课程设计<二> 课程目的训练学生综合地运用所学的《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。
本次电脑仿真所用的软件版本为EWB Version 5.0c<三>课程设计题目题目:交通灯控制电路的设计要求:1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。
时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
〈四〉设计原理与参考电路1、分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图1-1所示。
它主要由倒计时计数电路、信号灯转换器和秒脉冲信号发生器组成。
秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁控制电路的标准时钟信号源,倒计时计数器输出两组驱动信号T 5和T 0,经信号灯转换器控制信号灯工作,倒计时计数电路是系统的主要部分,由它控制信号灯转换器的工作。
2、信号灯转换器两方向车道的交通灯的运行状态共有4种 假设主干道绿灯先亮到最后5秒蓝灯闪烁直到红灯亮,同时支道路口红灯亮主干道红灯亮后,支道绿灯亮,同样到最后5秒蓝灯秒脉冲 发生器闪烁直到红灯亮。
一轮循环完成后进入下一轮循环。
3、状态实现方法1> 计数器实现:控制十位的74190的CLK的脉冲信号来自个位的74190的QA 和QB信号用与门连接产生的信号,当个位显示9时,QA和QB上的信号都为高电平1,而且只有9才有这种信号特征,这正是选这个信号为十位CLK的信号脉冲的原因,一轮倒计时结束进入下一轮的信号接的是两个74190的信号输出即十位QA、QB、QC、QD和个位的QA、QB、QC、QD,用一个8脚或门,这样只有在两个显示芯片的信号都为0的情况才为0,也就是倒计时可以一直的持续下去。
交通灯(红绿灯)设计实验报告

东南大学电工电子实验中心实验报告课程名称:数字逻辑设计实践第四次实验实验名称:小型数字系统设计(红绿灯)院(系):吴健雄学院专业:电班姓名:李博文学号:61010116实验室: 实验组别:同组人员:实验时间:2011 年11 月28 日评定成绩:审阅教师:一、实验目的①综合前面所学的各项内容②掌握数字系统设计的流程和方法③培养复杂电路连接和调试技能二、实验原理(简要写出这个综合设计用到哪些实验原理)本实验使用74LS161级联实现模60的计数,并用74LS161进行状态计数,用74LS138进行状态译码,并使用一些门电路从而达到控制电路的效果。
本实验需要掌握74LS161、74LS138的具体使用方法和各端口在不同状态下对应的功能,以及通过表达式的化简从而使用尽量简单的门电路(尽量使用与非门和反相器)。
具体过程在实验内容中将进行详细的阐述。
三、实验内容实验要求(分基本要求和提高要求):基础要求:设计一个十字路口交通信号灯控制器,在每个入口处设置红,绿,黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,在绿灯转红灯之间,黄灯亮每次放行60秒,其中绿灯55秒,黄灯5秒,红灯60秒用4个数码管显示时间,其中2个显示东西方向时间,另2个显示南北方向时间,显示采用加计数模式用6个LED显示两个方向的红灯、绿灯和黄灯提高要求:分主次干道,主干道绿灯55秒,黄灯5秒,红灯30秒;次干道绿灯25秒,黄灯5秒,红灯60秒4个数码管显示,其中2个显示主干道方向的时间,另2个显示次干道时间原理框图为:2011/12/4东南大学电工电子实验中心12流程图(基础部分)可表示为:思路:若将两个方向看成一个整体,用一个状态机控制,则本电路应该有四个状态(此处仅讨论基础部分),状态表如下:状态南北东西S2 S1 R Y G 计数R Y G 计数0 0 0 1 0 060 0 0 1 551 0 1 1 0 0 0 1 0 52 1 0 0 0 1 55 1 0 060 3 1 1 0 1 0 5 1 0 0变思路,采用两个状态机。
红绿灯实验报告

数字电路课程设计总结报告题目:红绿灯控制器目录一. 设计任务书二. 设计框图及整机概述三. 各单元电路的设计方案及原理说明四. 调试过程及结果分析五. 设计、安装及调试中的体会六. 对本次课程设计的意见及建议七. 附录(包括: 整机逻辑电路图和元器件清单)一.设计任务书1.题目: 红绿灯控制器2.设计要求设计一个红绿灯控制器设计应具有以下功能基本设计要求: 设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮, 南北方向红灯亮。
.(2)东西方向黄灯亮, 南北方向红灯亮。
(3)东西方向红灯亮, 南北方向绿灯亮。
(4 ) 东西方向红灯亮, 南北方向黄灯亮。
要求有时间显示(顺数、逆数皆可), 时间自定。
(大于15秒以上), 可添加其他功能。
3.给定条件(1)、只能采用实验室提供的中小规模电路进行设计。
(不一定是实验用过的)十字路口交通示意图二. 设计框图及整机概述1、设计框图2、 整机概述该电路旨在模拟交通灯基本工作原理。
在预置数电路信号灯显示电路中设定南北方向红灯(47秒)、绿灯(38秒)、黄灯(9秒), 电路按照设计要求的状态工作。
三. 各单元电路的设计方案及原理说明1、 减法计数器本电路采用两片同步十进制加/减法计数器74LS190, 用串行进位方式构成一个百进制减法计数器, 再采用预置数的方法, 构成47进制的减法计数器。
如下图所示:2、 状态控制器交通灯工作流程如图所示主、支道上红、绿、黄信号灯的状态主要取决状态控制器的输出状态。
他们之间的关系见真值表所示。
对于信号灯的状态, “1”表示灯亮, “0”表示灯灭。
主道红灯亮,支道黄灯亮支道红灯亮,主道绿灯亮 0秒末 主道红灯亮,支道绿灯亮 支道红灯亮,主道绿灯亮 47秒支道红灯亮,主道黄灯亮 9秒主道红灯亮,支道绿灯亮 0秒末47秒 9秒信号灯信号真值表根据真值表, 可求出各信号灯的逻辑函数表达式为: R=Q2‘G=Q2Q1‘Y=Q2Q1R1=Q2G1=Q2‘Q1’Y1=Q2‘Q1所以交通灯的显示电路如图所示:3、数码管显示数码管从左到右依次接入计数器高位、低位4、减法计数器——状态控制器减法计数器0秒或者9秒的时候给状态控制器一个脉冲信号, 电路图如下:四.调试过程及结果分析调试过程由电路仿真软件实现。
数字逻辑课程设计报告--交通灯控制器

数字逻辑课程设计报告——交通灯控制器学院名称:学生姓名:专业名称:班级:实习时间:2013年6月3日—— 2013年6月14日一、实验目的:1.掌握时序逻辑电路的设计方法,灵活运用理论知识。
2.提高自己的数字系统设计能力和实际动手能力。
3.了解如何将数字电路设计应用到自动控制系统中,从而提高解决实际问题的能力。
二、实验任务与要求1.红绿灯交通信号系统外观示意图2.总体任务及要求⑴ 在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯、黄灯、红灯;另一个方向是红灯、绿灯、黄灯。
⑵ 设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上红灯亮的时间是30s ,另一个方向上绿灯亮的时间是20s ,黄灯亮的时间都是5s 。
⑶ 用两组数码管,实现双向倒计时显示。
3.总时序工作流程图三、总体方案的设计1、主控电路在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来,可以通过两位二进制数表示所需状态(00—Gr, 01—Yr, 11—Rg, 10—Ry),循环状态:(00—01—11—10—00)。
可以设计一个模为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路,所以可以利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。
主控电路2、脉冲输出部分脉冲输出部分为555时基芯片构成的多次谐波震荡器,电路原理图如右:其中器件参数分别为R1=4.7kΩ,R2=150kΩ,C1=4.7μF,C2=0.01μF。
产生的时钟脉冲为周期T=1s的方波。
电容C1充电时,暂稳态持续时间为tw1=0.7(R1+R2)C=0.7×(150k+4.7k) ×4.7μ≈0.5s电容C1放电时,暂稳态持续时间为tw2=0.7R2C=0.7×150k×4.7μ≈0.5s因此,电路输出矩形脉冲的周期为T= tw1+tw2≈1s输出占空比为q= tw1/T≈50%脉冲输出3、红绿灯显示电路红绿灯显示是表示电路所处状态,受到主控电路控制,即主控电路的输出(A和B)决定了主干道和支干道的红绿灯的情况。
北邮数字逻辑课程设计实验报告(交通灯控制)

实验三:交通灯控制一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032 一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,黄交通灯。
控制这些交通灯,使它们按下列规律亮,灭。
VHDL源代码:LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY light isport (restfunc,emergency,clk: in std_logic;--restfunc为复位信号,emergency为紧急信号,clk为50kHz频率时钟light : out std_logic_vector(11 downto 0));--12个指示灯ARCHITECTURE func of light istype state is (s1,s2,s3,s4); --交通灯4个状态signal current_state,next_state: state;--current_state,next_state为别为当前状态,转移状态signal en1,en2,en3,en4, c,c1,c2,c3,c4: std_logic;--en为使能信号,c为进位信号signal temp1: integer range 0 to 49999;signal temp2: integer range 0 to 99999;signal temp3: integer range 0 to 249999;signal temp4: integer range 0 to 9999;begin--1s计数器,对50kHz进行50000分频process(clk,en1)beginif (clk'event and clk='1') then --上升沿判断if (temp1=49999 and en1='1' and emergency='0') thentemp1<=0;c1<='1';--进位elsif (en1='1' and emergency='0') thentemp1<=temp1+1;c1<='0';end if;end if;if (en1='0') thenc1<='0';temp1<=0;end if;end process;process(clk,en2)--2s计数器,对50kHz进行100000分频beginif (clk'event and clk='1') thenif (temp2=99999 and en2='1' and emergency='0') thentemp2<=0;c2<='1';elsif (en2='1'and emergency='0') thentemp2<=temp2+1;c2<='0';end if;end if;if (en2='0') thenc2<='0';temp2<=0;end if;end process;process(clk,en3)--5s计数器,对50kHz进行250000分频beginif (clk'event and clk='1') thenif (temp3=249999 and en3='1' and emergency='0') thentemp3<=0;c3<='1';elsif (en3='1' and emergency='0') thentemp3<=temp3+1;c3<='0';end if;end if;if (en3='0') thentemp3<=0;c3<='0';end if;end process;process(clk,en4) --0.2s计数器,对50kHz进行10000分频beginif (clk'event and clk='1') thenif (temp4=9999 and en4='1') thentemp4<=0;c4<=not c4;elsif (en4='1') thentemp4<=temp4+1;end if;end if;end process;c<=c1 or c2 or c3; --进位信号process (c,restfunc) --状态转移,复位情况beginif (restfunc='1') then--复位信号current_state<=s1;elsif (c'event and c='0') then--遇到计数器进位转移到下一状态current_state<=next_state;end if;end process;process (current_state,emergency) --状态转移,紧急情况beginif(emergency='1') then--紧急状态红灯全亮light<="000000001111";elsecase current_state iswhen s1=> --东西方向绿灯亮,南北方向红灯亮,延时5秒en1<='0';en2<='0';light<="010*********";en3<='1';next_state<=s2; --继续下一状态,下同when s2=>--东西方向黄灯闪,南北方向红灯亮,延时2秒en3<='0';en2<='1';en4<='1';light(11 downto 7 )<="00000";light(6)<=c4;light(5)<='0';light(4)<=c4;light(3 downto 0)<="1010";next_state<=s3;when s3=>--东西方向红灯亮,南北方向绿灯亮,延时5秒en2<='0';en4<='0';en3<='1';light<="101000000101";next_state<=s4;when s4=> --东西方向红灯闪,南北方向黄灯闪,延时2秒en3<='0';en2<='1';en4<='1';light(11 downto 8 )<="0000";light(7)<=c4; --闪灯控制light(6)<='0';light(5)<=c4;light(4 downto 0)<="00101";next_state<=s1;end case;end if;end process;end func;四、实验小结依旧注意4个分频。
红绿灯数字电路课程设计.

《数字逻辑电路设计》课程设计总结报告题目:红绿灯控制器指导老师:设计人员:学号:班级:日期:2013年5月目录1、设计任务书2、设计框图及整机概述3、各单元电路的设计方案及原理说明4、调试过程及结果分析5、设计、安装及调试中的体会6、对本次课程设计的意见及建议7、附录(包括:整机逻辑电路图及元器件清单)3、各单元电路的设计方案及原理说明脉冲发生电路:这上个学期的数电实验中,我们用555定时器实现了产生脉冲的功能,所以我们这次可以用555定时器来实现脉冲发生电路。
555定时器是一种模拟和数字功能相结合的中规模集成器件,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路,但是因为本次课程设计实验室直接提供了实验所需的脉冲,所以这个部分我们并没有设计,而是直接使用了实验室提供的脉冲。
计数系统:因为实验要求我们只用显示一个红灯的时间就可以了,所以根据我的设计方案,是打算让红灯保持25秒的时间,也就是数码管需要显示的最大数字是25,因此可以用两片160计数芯片来实现。
该计数器能同步并行预置数据、异步清零,具有清零置数,计数和保持四种功能,且具有进位信号输出端、可串接计数使用。
我采用的是计数清零的方法来实现从0到25的计数,两块芯片都是接在同一个秒冲上的,所以是同步置零的方法。
首先先接上一片160,它的计数用来当做个位,当第一片160从0记到9时在它的进位输出端会输出一个进位脉冲,我把这个进位脉冲直接接到了第二片160的使能端,这样就实现了十位的计数,当两片芯片总共计数到25时,这时我们译出一个清零信号,用来清零,即每当两块芯片从0记到25的时候,都会置零,然后再重新开始计数,这样就实现了技术部分。
该部分仿真电路如下:译码系统:这个部分是用来显示计数的,也就是我们在计数系统中设计了从0到25的计数,则数码管也会从0开始显示到25。
这里我们需要用到BCD-七段译码器74LS48,74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中。
交通信号灯实验报告

数字逻辑与数字系统实验报告交通指示灯电路一、实验要求:二、实验设计:1.分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图下图所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,可有实验箱自己给不用设计。
译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
2.电路图的设计计数器选用集成电路74LS163进行设计。
74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。
刚好交通灯的一个周期为16秒。
可用一个74163计数对电路定时。
由功能表分下面几种信号灯状态和车道运行状态:S0:东西方向车道的绿灯亮绿灯闪,车道通行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行 S1:东西方向车道的黄灯亮,车道缓行,人行道禁止通行;南北方向车道的红亮,车道禁止通行,人行道通行 S2:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的绿灯闪,车道通行,人行道禁止通行 S3:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的黄灯亮,车道缓行,人行道禁止通行注:绿灯闪和绿灯亮可以设置不同的状态,比如说:东西灯绿灯亮是用一个控制电路,闪的时候用另一个控制电路,绿灯亮时,控制绿灯闪的逻辑电路必须为0,使绿灯闪的电路用一个逻辑电路和脉冲和与门连成,而此时使绿灯亮的逻辑电路必须为0,然后两个逻辑电路用或门连到同一个绿信号灯上。
依题目的意思可以列出下面的真值表:(G为绿灯,R为红灯,Y为红灯,AB控制绿闪)QD QC QB QA G1 Y1 R1 A G2 Y2 R2 B0 0 0 0 1 0 0 0 0 0 1 00 0 0 1 1 0 0 0 0 0 1 00 0 1 0 1 0 0 0 0 0 1 00 0 1 1 1 0 0 0 0 0 1 00 1 0 0 0 0 0 1 0 0 1 00 1 0 1 0 0 0 1 0 0 1 00 1 1 0 0 1 0 0 0 0 1 00 1 1 1 0 1 0 0 0 0 1 01 0 0 0 0 0 1 0 1 0 0 01 0 0 1 0 0 1 0 1 0 0 01 0 1 0 0 0 1 0 1 0 0 01 0 1 1 0 0 1 0 1 0 0 01 1 0 0 0 0 1 0 0 0 0 11 1 0 1 0 0 1 0 0 0 0 11 1 1 0 0 0 1 0 0 1 0 01 1 1 1 0 0 1 0 0 1 0 0主电路图如下由于试验箱只有一个48MHZ的脉冲,所以用到一个分频电路如下图,得到需要的频率。
交通灯控制器+数字电路课程设计报告

交通灯控制器+数字电路课程设计报告交通灯控制器是交通管理系统中的重要组成部分,其主要作用是控制道路上的交通信号灯。
随着数字电路技术的发展,交通灯控制器也逐渐向数字化、智能化方向发展。
本文将详细介绍一种基于数字电路的交通灯控制器设计,以及该设计方案的实现和效果。
一、设计方案1.硬件设计硬件设计方案主要包括数字电路的选择、交通灯的控制模块、传感器等。
本方案选用FPGA芯片作为控制芯片,该芯片具有先进的数字信号处理能力和可编程性,便于开发和定制。
交通灯的控制模块包括红灯、黄灯、绿灯三个信号灯的控制器,以及车辆、行人传感器等。
其中车辆传感器主要用来检测车流量,行人传感器主要用来检测行人通行情况。
2.软件设计软件设计方案主要包括程序的设计和调试,以及人机界面的设计和开发。
程序设计方案采用Verilog HDL语言进行实现,采用时序逻辑设计的思路来编写程序,实现红绿灯的控制和状态转移。
人机界面采用C语言进行编写,通过串口通信与控制芯片进行数据传输和控制。
二、实现过程在设计方案确定后,我们进一步开始实现。
首先是电路的焊接和测试,在确定电路正常无误后,再完成程序的编写和调试。
最后是人机接口的开发和完善。
具体实现流程如下:1.电路焊接首先进行电路布线和焊接,将FPGA芯片、光耦隔离器、电位器等元器件焊接到电路板上,以及信号灯、传感器等元器件的接入。
2.程序编写利用Verilog HDL语言编写程序,主要包括红绿灯状态的转移逻辑和相应的信号输出控制。
程序设计过程中,需要注意时序和状态的转移。
3.调试测试完成程序编写后,需要进行相应的调试测试。
通过仿真测试,检查程序逻辑是否正确,排除潜在问题。
在硬件实验平台上进行测试,确定系统能够正常工作。
4.人机界面开发利用C语言编写人机界面,实现与交通灯控制器的交互控制。
实现车辆、行人传感器的数据采集和显示,以及人手动控制交通灯的功能。
三、实现效果通过测试和实验验证,本文的交通灯控制器设计方案具有以下优势:1.使用FPGA芯片作为控制芯片,具有较强的可编程性和数字信号处理能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
题目:红绿灯控制器
指导教师:***
设计人员(学号):谭晨曦(**********)班级:电信类111班
日期:2013年5月25日
目录一.设计任务书
二.设计框图及整机概述
三.各单元电路的设计方案及原理说明
四.调试过程及结果分析
五.设计、安装及调试中的体会
六.对本次课程设计的意见及建议
七.附录(包括:整机逻辑电路图和元器件清单)
一、设计任务书
基本设计要求:EWB仿真实现,设计一个红绿灯控制器控制器设计应具有以下功能:
(1)东西方向绿灯亮,南北方向红灯亮。
.
(2)东西方向黄灯亮,南北方向红灯亮。
(3)东西方向红灯亮,南北方向绿灯亮。
(4 ) 东西方向红灯亮,南北方向黄灯亮。
要求有时间显示的(顺数、逆数皆可)时间自定(按学号:红灯时间(学号)=绿灯时间+黄灯时间(≥5)。
二.设计框图及整机概述
设计框图:
电源计数器数码显示
脉冲控制红绿灯
红绿灯控制器设计框图
整机概述:
红绿灯控制器由电源模块、脉冲模块、计数模块、控制模块、红绿灯模块以及数码显示模块共六部分组成。
电源模块为整机提供电源;脉冲模块提供给计数器作为时钟信号;计数模块为44进制计数器,分别输出到数码显示模块和控制模块作为数码显示信号和控制信号;数码显示模块接收来自计数器模块的信号,表明计数器工作状态;控制模块接收计数器模块输出的控制信号从而控制红绿灯的亮灭;红绿灯模块的亮灭情况见设计任务书。
三、各单元电路的设计方案及原理说明
电源模块:外接,略。
脉冲模块:外接,略。
计数模块:
计数模块内两个计数器(74LS160)CLK外接时钟脉冲信号,A、B、C、D接地,LOAD接高电平。
低位计数器(左)的进位信号通过RCO输送至高位计数器(右),使高位计数器仅在有进位时工作。
因为我的学号为44,按照任务书要求,再通过将两个计数器的Q c分别接至与非门,以与非门的输出信号作为两个计数器的置零信号,至此,一个四十四进制计数器完成。
控制模块:
控制模块根据以下设计表格,通过四进制计数表示四个时间段内灯的亮灭情况从而控制红绿灯模块,以计数器模块输出的控制信号作为脉冲输入。
控制输出端东西方向南北方向
Q B Q A红黄绿红黄绿
0 0 0 0 1 1 0 0
0 1 0 1 0 1 0 0
1 0 1 0 0 0 0 1
1 1 1 0 0 0 1 0
由以上表格知:
东西方向:绿=Q B’Q A’,黄=Q B’Q A,红=Q B
南北方向:绿=Q B Q A’,黄=Q B Q A,红=Q B’
数码显示模块:
译码器为74LS48,其中7、1、2、6分别接至计数器输出,3、5、4接至高电平,9~15分别通过电阻与数码管的ABCDEFG相连,H
悬空。
红绿灯模块:
各灯按方向放置,亮灭情况同任务书。
实际电路中各LED灯应与一电阻串联以分
压,以避免烧毁。
四.调试过程及结果分析
按整机电路图接好线之后,便进入了调试环节。
调试过程中没发现有虚焊现象,但通过万用表测量却发现有几处焊点短接在一起,在解决短接问题之后接上电源及脉冲后发现计数正常但红绿灯亮灭不
符合任务书要求,经检测线路发现是74LS04芯片中非门恰好接反了位置,重新接线并经确认无误后,再次接入电源及脉冲,此时数码显示管计数为44s时复位,红绿灯亮灭符合要求,表明整机正常工作。
五.设计、安装及调试中的体会
本机设计时采用了模块化设计思想,将整机分为电源模块、脉冲模块、计数模块、控制模块、红绿灯模块以及数码显示模块共六部分。
其中电源模块和脉冲模块外接,无需设计。
设计的重点在计数模块与控制模块,重中之重则是控制模块。
刚开始设计控制模块时有些无从
下手,后来才懂得从红绿灯的亮灭要求入手进行设计,于是做出了上面单元电路中控制模块介绍的设计表格,控制模块就这样设计出来了。
通过这个设计,我得到的最大的启示就是:做设计应该从最根本的要求出发,逐级向上设计,这样思路清晰,能避免像无头苍蝇一样乱撞,不但节省时间,而且更易成功。
焊接过程中为节省时间,焊接很匆忙,导致线路杂乱无章,焊点间出现短接情况,甚至接错线,给调试过程带来了很大麻烦,本来是想节省时间,最后却花费了更多的时间,还把自己弄得焦头烂额的。
这是一个教训,一方面提醒我目光要放长远来,另一方面也告诉我能省则省,不能省就一定不要省,否则到头来吃亏的只有自己。
六.对本次课程设计的意见及建议
本次课程设计期间,学院长时间开放实验室给学生做设计,并且提供了整套设备及学生需要的器件材料,总的来说是挺好的。
为了能够有更好的课程设计环境,我有以下两点建议:
1.希望学院加强对实验室的设备及器件的管理,有些自觉性不高的同学会把一些器件带走,造成其他同学因无相应器件而无法完成调试,进而影响整个课程设计安排。
2.提供电源及脉冲的实验箱似乎工作不太稳定,希望改进。
七.附录
本机完整电路图及所焊接电路板实图:
整机用到的元器件清单:
74LS160 74LS00 74LS02 74LS04 74LS20 74LS48 数码管红黄绿LED灯导线电阻3 1 1 2 1 2 2 6 若干20。