相电路实验报告

相电路实验报告
相电路实验报告

实验一

一、实验名称

三相电路不同连接方法的测量

二、实验目的:

1. 理解三相电路中线电压与相电压、线电流与相电流之间的关系。

2. 掌握三相电路的正确连接方法与测量方法。

三、实验原理

1.三相电路

三相电路在生产上应用最为广泛,发电和输配电一般都采用三相制。在用电方面,许多负载是三相的或连接成三相形式的,如三相交流电动机。

三相电路是由三相电源供电的电路。三个频率相同且随时间按正弦函数变换的电动势,如果每相电动势的振幅相等,相位依次相差120o,则称为三相电动势。产生对称三相电动势且各阻抗相等的电源称为对称电源。当三相电动势的相序依次为U相、V相和W相时,称为正序或顺序,反之称为负序或逆序。本实验在三相电源的相序为正序的情况下进行测量。

三相电源由DDSZ-1型实验台台面左侧的DD01三相调压交流电源提供。如下图所示。

在三相电路中,负载一般也是三相的,即由三个部分组成,每一部分称为一个相。如三相负载各相阻抗值相同,则称为对称三相负载。三相负载有两种连接方式:星形联结和三角形联结。

在三相电路中,电源或负载各相的电压称为相电压,端线之间的电压称为线电压;流过电源或负载各相的电流称为相电流,流过各端线的电流称为线电流。星形联结时,各相电压源的负极连在一起称为三相电源的中性点或零点。各相负载的一端接在一起称为负载的中性点或零点。电源的中性点与负载中性点的连线称为中性线或零线。流过中性线的电流称为中性线电流。

2.三相负载的星形联结(三相四线制)

3.三相负载的三角形联结

ou

负载为三角形联结时,线电压等于相电压。当电源与负载对称时,线电流和相电流在数值上的关系为

L P

I 。

四、实验设备

1.DDSZ-1型电机及电气技术实验装置

2.D42三相可调电阻器

3.D33交流电压表

4.D32交流电流表

五、实验内容与步骤

1. 组接实验电路;

2. 三相四线制,三相负载为星形联结时,分别测量线电压、相电压、线电流、相电流,记录实验数据。

3. 三相三线制,三相负载为星形联结时,分别测量线电压、相电压、线电流、相电流,记录实验数据。

表5-2

三相负载情况U UV U VW U WU U UN'U VN'U WN'I U I V I W

负载对称

U相开路0

U相短路

4、三相三线制,三相负载为三角形联结时,分别测量线电流、相

电流,记录实验数据。

三相负载情况I U I V I W I UV I VW I WU

负载对称

UV相开路0000

六、实验结果与分析

1. 画出电路图,列出实验所得数据表格。

A. 三相四线制,三相负载为星形联结时,分别测量线电压、相电

压、线电流、相电流,记录实验数据。

(包括虚线)

三相负载情况U UV U VW U WU U UN U VN U WN I U I V I W I N 负载对称0 U相开路0

B三相三线制,三相负载为星形联结时,分别测量线电压、相电

压、线电流、相电流,记录实验数据。

(不包括虚线)

三相负载情况U UV U VW U WU U UN'U VN'U WN'I U I V I W 负载对称

U相开路0

U相短路

C三相三线制,三相负载为三角形联结时,分别测量线电流、相电流,记录实验数据。

三相负载情况I U I V I W I UV I VW I WU

负载对称

UV相开路0000

2. 分析三相电路中线电压与相电压,线电流与相电流的关系,用实验测得的数据验证对称三相电路中的3关系。用实验数据和观

察到的现象,总结三相四线供电系统中中线的作用。当三相负载不对称时,中线提供各相电流的回路。

3. 不对称三角形联接的负载,能否正常工作?实验是否能证明这一点?

实验二

一.实验目的

1.学习、掌握用三瓦计法和二瓦计法测量三相电路的有功功率。

2.了解上述两种方法在不同情况下的实用价值。

二.实验原理

1.三瓦计法测量功率电路

三相四线制电路的总功率,通常用三只功率表测量功率。其接线如下图所示,分别测出A 、B 、C 各相的有功功率相加而得到,即 P 总=P A +P B +P C,

图一. 三瓦计法测量功率电路

2.

二瓦计法测量功率电路

在三相三线制电路中,通常用二只功率表测量功率。其接线如图所示。功率表W1和W2的读数分别为P 1和P 2。三相电路的总功率等于 P 1与 P 2 的代数和。

P 1=U AC I A cosf 1 P 2=U BC I B cosf 2 P 总=P 1+P 2

C A B C

图二. 二瓦计法测量功率电路

二瓦计法测量三相电路的功率时,单只功率表的读数无物理意义。当负载为对称的星形连接时,由于中线中无电流流过,所以也可用二瓦计法测量功率。但是二瓦计法不适用于不对称三相四线制电路。

三.实验仪器

1.电工实验台1台

2.单相功率表3只

3.三相电路实验板1块

四.实验步骤

1.用白炽灯作为负载,按图一接线。即在三相四线制星形连接时

分别用三瓦计法和二瓦计法测量负载功率,计算总功率并将实

验数据填入表一内

2.按图一接线。在三相三线制和三相四线制两种不同星形连接时,

其中A相为4uF的电容、B相和C相为2只串联的40W白炽灯。

分别用三瓦计法和二瓦计法测量功率并所测得的数据加以比较

后,计算总功率填入表一内。

3.在三相三线制星形连接时,A相为断路、B相和C相为2只串联

的40W白炽灯时,分别用三瓦计法和二瓦计法测量功率,计算

总功率并将实验数据填入表一内。

4.用白炽灯作为负载,接成三角形连接,分别用三瓦计法和二瓦

计法测量负载功率。计算总功率并将实验数据填入表一内。

5.按图二接线。将负载接成三角形连接(负载AB为4uF电容、负

载BC和我,负载CA为2只串联的40W白炽灯),分别用三瓦计

法和二瓦计法测量负载功率,计算总功率并将实验数据填入表

一内。

6.按图二接线。将负载接成三角形连接(AB相为断路、BC相和

CA相为2只串联的40W白炽灯),分别用三瓦计法和二瓦计法

测量负载功率,计算总功率并将实验数据填入表一内。

五、实验有关原理及原始计算数据,所应用的公式

1.三相四线制电路的总功率可通过用三只功率表(三瓦计法)分

别测出A、B、C各相的有功功率相加而得到,即P=P A+P B+P C。

当负载对称时,各相功率相等,因此可以只测任一相功率,再

乘以三便可得到总功率。

2.在三相三线制电路中,无论负载对称或不对称,通常只用两只

功率表来测量总功率。功率表W1和W2的读数分别为P1和P2。

可以证明总功率

P=P1+P2=U AC I Acos(U AC I A)+U BC I B cos (U BC I B)

=P A+P B+P C式中P A、P B、P C分别为负载等效星形连接时各相功率。功率表W1和W2读数的代数和等于负载消耗的总功率。

单只功率表的读数无意义。若功率表的指针反转,可把功率表电流线圈两端的接线对换,但这时功率表的读数应取负值。

因为对称四线制电路的中线内没有电流流过,所以二瓦计法仍然可以采用,但二瓦计法不适用于不对称四线制电路。对称三

相电路中,两个功率表的读数分别为

P1=U AC I Acos(U AC I A)= U AC I Acos(30?-Ф)

P2= U BC I B cos(U BC I B)= U BC I B cos(30?+Ф)

其中,Ф为相电流滞后于相电压的相位角,即负载阻抗角。由上述分析可知:当负载为纯电阻时,cosФ=0,P1、P2>0

当负载的功率因数cosФ>时,P1、P2读数不等,但都为正

当负载的功率因数cosФ<时,P1、P2读数不等,且有一个为负值当负载的功率因数cosФ=时,P1、P2必有一个为零,一个为正六.实验数据记录

表一.实验数据记录

七、实验结果分析

八、实验结果分析

实验数据表明:在三相四线制不对称联接不能用二瓦计法测量三相电路总功率。通常情况下,在三相四线制联接时采用三瓦计法测量电路总功率,三相三线制联接时采用二计法测量电路总功率。

电路研究性实验报告

湖南XX学院 电路设计研究型报告 题目:电路综合实验 专业:测控技术与仪器 班级:测控xxxx班 学生组员:郭x(组长)、黄x、余x 指导老师:厉x 日期:2014年6月13日

电路课程研究性实验 实验报告 成员表现评估: 黄X:优秀 余X:优秀 郭X:优秀 (一)实验内容 一、R、L、C元件参数的测量 1.用电压、电流表判别黑匣子元件性质。 2. 用交流电压、电流表及功率表分别测量R、L、C元件交流参数,讨论实验误差引起的原因。 二、正弦电源下电路稳态特性的研究 1.用示波器分别观察R、L、C元件在正弦电源下响应的电压、电流波形。 2.用示波器分别观察R、L、C元件伏安关系曲线。 3. 用示波器分别观察RLC元件串联的在正弦电压情况下感性、容性和电阻性响应的电压、电流波形。 实验员:黄X 余X 郭X 报告及其记录:郭X

(二).实验目的: 1学习用示波器观察和分析RC,RL,RLC的电路的响应 2 通过电路方波响应波形的观察,判别元件性质 3 学会用电压、电流表判别黑匣子元件性质。 4 学习用三表法测量交流电路的参数及其误差分析 5 了解RLC元件在正弦电压情况下的电压电流波形 6.学习正确选用交流仪器和设备 7.掌握功率表、调压器的使用 8 综合运用所学知识,自主完成实验,提高科学素养,增加实 验动手能力,提高积极思考问题解决问题的能力。 9.通过这次实验,增强了自信心,磨练战胜困难的毅力,提高 解决问题的能力,通过这次实验,增进了对集体的参与意识 与责任心,给今后的工作中带来大的帮助和借鉴。

(三):实验原理 一、R、L、C元件参数的测量 1. 调压器提供实验电压,电压表监测元件电压,电流表监测元件电流,在被测元件两端并接一只适当容量的试验电容器,若电流表读数增大则被测元件为容性;反之为感性。 实验操作如【1——1】图接线 实验结果 据图将电压表和电流表的示数记录到表-1中 由表格数据可知电路并入一个电容器后电流表的示数变小,故被测元件为感性。

电路分析实验报告-第一次

电路分析实验报告

实验报告(二、三) 一、实验名称实验二KCL与KVL的验证 二、实验目的 1.熟悉Multisim软件的使用; 2.学习实验Multisim软件测量电路中电流电压; 3.验证基尔霍夫定理的正确性。 三、实验原理 KCL为任一时刻,流出某个节点的电流的代数和恒等于零,流入任一封闭面的电流代数和总等于零。且规定规定:流出节点的电流为正,流入节点的电流为负。 KVL为任一时刻,沿任意回路巡行,所有支路电压降之和为零。且各元件取号按照遇电压降取“+”,遇电压升取“-”的方式。沿顺时针方向绕行电压总和为0。电路中任意两点间的电压等于两点间任一条路径经过的各元件电压降的代数和。 四、实验内容 电路图截图:

1.验证KCL: 以节点2为研究节点,电流表1、3、5的运行结果截图如下: 由截图可知,流入节点2的电流为2.25A,流出节点2 的电流分别为750mA和1.5A。2.25=0.75+1.5。所以,可验证KCL成立。 2.验证KVL: 以左侧的回路为研究对象,运行结果的截图如下:

由截图可知,R3两端电压为22.5V,R1两端电压为7.5V,电压源电压为30V。22.5+7.5-30=0。所以,回路电压为0,所以,可验证KVL成立。 一、实验名称实验三回路法或网孔法求支路电流(电压) 二、实验目的 1.熟悉Multisim软件的使用; 2.学习实验Multisim软件测量电路中电流电压; 3.验证网孔分析法的正确性。 三、实验原理 为减少未知量(方程)的个数,可以假想每个回路中有一个回路电流。若回路电流已求得,则各支路电流可用回路电流线性组合表示。这样即可求得电路的解。回路电流法就是以回路电流为未知量列写电路方程分析电路的方法。网孔电流法就是对平面电路,若以网孔为独立回

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

扩音机电路的综合测试 实验报告

第二节 预应力锚索施工 实验报告 课程名称:电路与电子技术实验Ⅱ 指导老师:张德华 成绩:__________________ 实验名称:扩音机电路的综合测试 实验类型:模拟电路实验 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一、实验目的和要求 1.熟悉集成功放的基本特点; 2.了解放大电路的频率特性及音调控制原理; 3.学习扩音机电路的测试方法,测试各项指标及电路的音调控制特性; 4.学习手工焊接和电路布局、布线、组装方法; 5.提高电子电路的综合调试能力。 二、实验内容和原理 实验内容: 1.测量各级电路的静态工作点; 2.测试前置级、音调控制级、功率放大级的电压增益和整机增益; 3.测量各项指标: ⑴最大不失真输出电压V omax ; ⑵输入灵敏度V imax ; ⑶最大输出功率P o ; 4.整机电路的频率响应; 5.整机高低音控制特性; 6.噪声电压V N ; 7.听音实验。 实验原理: 1.整机电路原理图: 专业:自动化(电气) 姓名:冷嘉昱 学号:3140100926 日期:2016.5.11&5.18 地点:东三211桌号F-2 装 订 线

2.前置级电路: 由A 1组成的前置放大电路是一个同相输入比例放大器,电路的闭环特性如下: 理想闭环电压增益: 输入电阻R if = R 1,输出电阻R of = 0 扩音机电路的增益是很高的,而扩音机的噪声主要取决于前置放大器的性能。为了减小前置级放大器的噪声,第一级要选用低噪声的运放。另外,如输入线的屏蔽情况,地线的安装等等都对噪声有很大影响。 3.音调控制级电路: 常用的音调控制电路有三种形式,一是衰减式RC 音调控制电路,其调节范围宽,但容易产生失真;另一种是反馈型音调控制电路,其调节范围小一些,但失真小;第三种是混合式音调控制电路,其电路复杂,多用于高级收录机。为使电路简单而失真又小,本音调控制电路中采用了由阻容网络组成的RC 型负反馈音调控制电路。它是通过不同的负反馈网络和输入网络造成放大器闭环放大倍数随信号频率不同而改变,从而达到音调控制的目的。 装 订 线

东南大学电路实验实验报告

电路实验 实验报告 第二次实验 实验名称:弱电实验 院系:信息科学与工程学院专业:信息工程姓名:学号: 实验时间:年月日

实验一:PocketLab的使用、电子元器件特性测试和基尔霍夫定理 一、仿真实验 1.电容伏安特性 实验电路: 图1-1 电容伏安特性实验电路 波形图:

图1-2 电容电压电流波形图 思考题: 请根据测试波形,读取电容上电压,电流摆幅,验证电容的伏安特性表达式。 解:()()mV wt wt U C cos 164cos 164-=+=π, ()mV wt wt U R sin 10002cos 1000=??? ? ? -=π,us T 500=; ()mA wt R U I I R R C sin 213.0== =∴,ππ40002==T w ; 而()mA wt dt du C C sin 206.0= dt du C I C C ≈?且误差较小,即可验证电容的伏安特性表达式。 2.电感伏安特性 实验电路: 图1-3 电感伏安特性实验电路 波形图:

图1-4 电感电压电流波形图 思考题: 1.比较图1-2和1-4,理解电感、电容上电压电流之间的相位关系。对于电感而言,电压相位 超前 (超前or 滞后)电流相位;对于电容而言,电压相位 滞后 (超前or 滞后)电流相位。 2.请根据测试波形,读取电感上电压、电流摆幅,验证电感的伏安特性表达式。 解:()mV wt U L cos 8.2=, ()mV wt wt U R sin 10002cos 1000=?? ? ?? -=π,us T 500=; ()mA wt R U I I R R L sin 213.0===∴,ππ 40002==T w ; 而()mV wt dt di L L cos 7.2= dt di L U L L ≈?且误差较小,即可验证电感的伏安特性表达式。 二、硬件实验 1.恒压源特性验证 表1-1 不同电阻负载时电压源输出电压 2.电容的伏安特性测量

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

电路仿真实验报告要求

电路计算机仿真分析 实验指导 武汉大学电气工程学院 电工仿真实验室 2006.11 PSPICE 简介 PSPICE 简介 1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE(Personal-SPICE).可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用.它可以进行各种各样的电路仿真,激励建立,温度与噪声分析,模拟控制,波形输出,数据输出,并在同一窗口内同时显示模拟与数字的仿真结果.无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库. 在目的个人电脑广使用的向用的商用仿真软件中,以Pspice A/D系列最受人众欢迎. PSPICE 是面向PC 机的通用电路仿真软件, 该软件具有强大的电路图绘制功能,电路模拟仿真功能,图形后处理功能和元器件符号制作功能,模拟仿真快速准确,并提供了良好的人机交互环境,操作方便,易学易用.软件的用途非常广泛,不仅可用于电路分析和优化设计,还可用于电子线路,电路,信号与系统等课程的计算机辅助教学.与印刷线路板设计软件配合使用,还可以实现电子设计自动化.这些特点使得PSPICE 受到广大电子设计工作者,科研人员和高校师生的热烈欢迎,国内许多高校已将PSPICE 列入电子类本科生和硕士生的辅修课程. PSPICE 软件在国外非常流行.在大学里,它是工科类学生必会的分析与设计电路的工具;在公司中,它是产品从设计,实验到定型过程中不可缺少的设计工具.世界各国的半导体元件公司为它提供了上万种模拟和数字元件组成的元件库,使PSPICE 软件的仿真更可信,更真实. PSPICE 软件几乎完全取代了电路和电子电路实验中的元件,面包板,信号源,示波器和万用表.有了PSPICE 软件就相当有了电路和电子学实验室. PSPICE 的功能 PSPICE 用于模拟电路,数字电路及模数混合电路的分析以及电路的优化设计. PSPICE 的分析功能主要体现在以下几方面: 直流分析:当电路中某一参数(称为自变量)在一定范围内变化时,对自变量的每一个取值,计算电路的直流偏置特性(称为输出变量). 交流分析:作用是计算电路的交流小信号频率响应特性. 噪声分析:计算电路中各个器件对选定的输出点产生的噪声等效到选定的输入源(独立的电压或电流源)上.即计算输入源上的等效输入噪声. 瞬态分析:在给定输入激励信号作用下,计算电路输出端的瞬态响应. 基本工作点分析:计算电路的直流偏置状态. 蒙特卡罗统计分析:为了模拟实际生产中因元器件值具有一定分散性所引起的电路特性分散性,PSpice提供了蒙特卡罗分析功能.进行蒙特卡罗分析时,首先根据实际情况确定元器件值分布规律,然后多次"重复"进行指定的电路特性分析,每次分析时采用的元器件值是从元器件

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

集成电路实验报告 (2)

实验 3 使用T-Spice 进行单元电路的瞬时分析3.1 实验目的及要求 1.进一步熟悉Tanner Pro 软件中T-Spice 软件的使用; 2.掌握使用T-Spice 分析简单电路的方法与操作流程,从而学会分析较为复杂的逻辑电路。 3.2 实验内容 3.2.1 反相器瞬时分析 (1)打开S-Edit,由于本实例中所使用的电路需要在反相器电路的基础上进行适当修改,为不影响后面的版图设计,同学们可以建立新文件EX3,将EX2 中反相器模块复制到EX3 文件中,再打开加入电源进行适当修改即可。反相器电路设计较为简单,在此只是教大家掌握复制模块的方法,希望大家掌握。 (2)复制inv 模块方法如下:先打开实验 2 中设计的“EX2.sdb”。进行复制前必须回到EX3 文件环境,方法为选择Module->Open 命令,打开Open Module 对话框,在Files下拉列表中选择EX3,单击OK 回到EX3 环境,才能进行复制模块操作。选择Module->Copy命令,打开Copy Module 对话框,在下拉列表中选择EX2 选项,在Select Module To Copy列表中选择inv 选项,单击OK 按钮即可。 (3)加入工作电源:inv 模块在电路设计模式下,选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,其中有很多电压源符号,选取直流电压源Source_v_dc 作为此电路的工作电压源。直流电压源Source_v_dc 符号有正(+)端与负(-)端。在inv 模块编辑窗口中直流电压源有两种接法可以直接连线接到原电路图的Vdd 与Gnd,也可另外复制两个Vdd 与Gnd(Ctrl+C 复制Ctrl+V 粘贴)接到电压源正负极,虽然两个全域符号Vdd 与Gnd 符号分开放置,但两个分离的Vdd 符号实际上是接到同一个节点,而两个Gnd 符号也是共同接地的。 (4)加入输入信号:选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,选取脉冲电压源Source_v_pulse 作为反相器输入信号,将脉冲电压源Source_v_pulse 符号的正端接输入端口in,负端接Gnd,编辑完成。为避免文件混杂且便于分辨可将原模块名称改为“inv_tran”,方便日后应用于其他的分析中。 (5)输出成SPICE 文件:此操作有两种方法前面已经介绍过了,可以直接单击S-Edit右上方的按钮,则会自动输出成SPICE 格式并打开T-Spice 程序。 (6)加载包含文件:由于不同的流程有不同的特性,在模拟之前必须要引入MOS 组件的模型文件,此模型文件内有包括电容电阻系数等数据,以供T-Spice 模拟之用。本实验是引用 1.25um 的CMOS 流程组件模型文件“m12_125.md”。鼠标移至主要电路前,选择Edit->Insert Command 命令或点击,打开T-Spice Command Tool 对话框,在左边列表框中选择Files选项。此时窗口将出现3个选项,单击Include Files按钮,点击下方的CreateCommand 按钮,在\tanner EDA\T-Spice Pro\models 下找到m12_125.md 文件,点击InsertCommand 添加即可。添加完成出现如下指令:.include “C:\ProgramFiles\Tanner EDA\T-Spice Pro\models\ml2_125.md”

电路基础实验报告

北京交通大学电路基础实验报告

实验目的: (1)学习MultiSim2001建立电路、直流电路的分析方法。 (2)掌握伏安特性的测量。 (3)通过实验,加深对叠加定理和戴维南定理的理解。 实验内容: 1)测量二极管的伏安特性 (1)建立如右图所示的仿真Array电路。 (2)启动Simulate菜单中的 Analyses下的DC Sweep 设置相应的参数后,单击Simulate按钮,得到二极管的伏 安特性曲线。 2)验证叠加定理Array(1)建立如右图 所示的仿真电路。 (2)启动仿真开 关后,用电压表分 别测出V1、V2单 独作用和共同作 用时个支路的电压值,验证叠加定理。 3)验证戴维南定理 (1)建立如下图所示的仿真电路。(其中a对应2的位置,

b 对应0的位置) (2)用电压表测量R3断开时a 、b 端口的开路电压。 (3)将电阻R3短路,用电流表测量a 、b 端口短路电压。 (4)计算出等效电阻。重新建立一仿真电路,调出一个直流电压源,设置其电压为测量出的开路电压值,调一个电阻值为计算出的等效电阻,与R3电阻串联成一个等效电路。再用电压表和电流表测量R3两端的电压和流过电流,验证戴维南定理。 实验过程: 1) 测量二极管的伏安特性。 如右图,建立仿真电路图后,启动Simulate 菜单中的Analyses 下的DC Sweep 命令,设置相应的参数后,单击Simulate 按钮,得到二极管的伏安特性曲线如下:

2)验证叠加定理。 V1单独作用: 令V2=0.启动仿真开关如下图: U11=8.727V U21=3.273V U31=3.273V V2单独作用: 令V1=0,启动仿真开关如下图:

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

电路实验报告二

实验二、基尔霍夫定律的验证 一、实验目的 1.通过实验验证基尔霍夫电流定律和电压定律,巩固所学理论知识。 2.加深对参考方向概念的理解。 二、器材设备 双路直流稳压电源,直流电路单元板(TS-B-28),万用表 三、实验原理 基尔霍夫节点电流定律: 电路中任意时刻流进(或流出)任一节点的电流的代数和等于零。其数学表达式为: ∑=0 I (2-1) i 基尔霍夫回路电压定律: 电路中任意时刻,沿着任一节闭合回路,电压的代数和等于零。其数学表达式为: ∑=0 U (2-2) i 电路的参考方向: 在电路中假定一个方向为参考,称为参考方向。当电路中的电流(或电压)的实际方向与参考方向相同时取正值,其实际方向与参考方向相反时取负值。 四.实验内容及步骤 本实验在直流电路单元板(TS -B-28)上进行,实验电路如图2-1所示。图中X1、X2、X3、X4、X5、X6为节点B的三条支路测量接口。 4.1、验证KCL定律 测量节点B的某支路的电流时,可假定流入节点B的电流为正,并将另外两个支路的测量接口短接,再将电流表的负极接到B点上,电流表的正极接到该支路的接口上(如图2-2)。

1. 按图2-2(a)接好实验电路,再将双路直流稳压电源的输出电压调节旋钮沿逆时针方向调到底,然后打开电源开关,调节电压输出,使U1=10.00V,U2=18.00V,测出AB支路的电流I1值,并在表2-1中记下测量值。 2.将电路转换成图2-2(b)形式,测出并记录BC支路的电流I2值。再将电路转换成图2-2(c)形式,测出并记录BE支路的电流I3值.。 3. 计算∑i I数值,验证基尔霍夫电流定律的正确性。利用电路中已知的电阻及电源电压值,应用电路定律计算出I1、I2、I3值并与测得的I1、I2、I3值比较,求出各测量值的相对误差。 表2-1(保留小数点后两位) 4.2、验证KVL定律 当要测量电压时,应将三个支路的测量接口短接,再取ABEFA回路为回路I,BCDEB 回路为回路II,可选取顺时针方向为绕行方向,依次测量两回路各支路的电压值。 1. 将电路转换成图2-3形式,仍保持U1=10.00V,U2=18.00V取顺时针方向为绕行方向,选择合适的电压表量程,依次测出回路I中各支路电压U AB、U BE、U EF、U FA和回路II中各支路电压U BC、U CD、U DE、U EB,并在表2-2中记下测量值。 2. 计算∑i U数值,验证基尔霍夫电压定律的正确性。利用已知的电阻及电源电压值,应用电路定律计算出上述各支路的电压值并与测得的值比较,求出各测量值的相对误差。 表2-2(保留小数点后三位) [数据处理,保留小数点后三位] 一、利用基尔霍夫定律计算节点B各支路的电流及回路Ⅰ、回路Ⅱ各支路的电压值。 设图2-3电路的节点B各支路的电流方向如图,取流入节点的电流方向为参考方向,则据基尔霍夫电流定律有:I1+I2=-I3 (2-3)另I4=I1、I2=I5(2-4)取顺时针方向为电压的参考方向,则据基尔霍夫电压定律有: 回路Ⅰ:R1×I1-R3×I3+R4×I1=U1(2-5)

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

电路综合设计实验-设计实验2-实验报告

设计实验2:多功能函数信号发生器 一、摘要 任意波形发生器是不断发展的数字信号处理技术和大规模集成电路工艺孕育出来的一种新型测量仪器,能够满足人们对各种复杂信号或特殊信号的需求,代表了信号源的发展方向。可编程门阵列(FPGA)具有高集成度、高速度、可重构等特性。使用FPGA来开发数字电路,可以大大缩短设计时间,减小印制电路板的面积,提高系统的可靠性和灵活性。 此次实验我们采用DE0-CV开发板,实现函数信号发生器,根据按键选择生产正弦波信号、方波信号、三角信号。频率范围为10KHz~300KHz,频率稳定度≤10-4,频率最小不进10kHz。提供DAC0832,LM358。 二、正文 1.方案论证 基于实验要求,我们选择了老师提供的数模转换芯片DAC0832,运算放大器LM358以及DE0-CV开发板来实现函数信号发生器。 DAC0832是基于先进CMOS/Si-Cr技术的八位乘法数模转换器,它被设计用来与8080,8048,8085,Z80和其他的主流的微处理器进行直接交互。一个沉积硅铬R-2R 电阻梯形网络将参考电流进行分流同时为这个电路提供一个非常完美的温度期望的跟踪特性(0.05%的全温度范围过温最大线性误差)。该电路使用互补金属氧化物半导体电

流开关和控制逻辑来实现低功率消耗和较低的输出泄露电流误差。在一些特殊的电路系统中,一般会使用晶体管晶体管逻辑电路(TTL)提高逻辑输入电压电平的兼容性。 另外,双缓冲区的存在允许这些DAC数模转换器在保持一下个数字词的同时输出一个与当时的数字词对应的电压。DAC0830系列数模转换器是八位可兼容微处理器为核心的DAC数模转换器大家族的一员。 LM358是双运算放大器。内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。LM358的封装形式有塑封8引线双列直插式和贴片式。 本次实验选用的FPGA是Altera公司Cyclone系列FPGA芯片。Cyclone V系列器件延续了前几代Cyclone系列器件的成功,提供针对低成本应用的用户定制FPGA特性,支持常见的各种外部存储器接口和I/O协议,并且含有丰富的存储器和嵌入式乘法器,这些内嵌的存储器使我们在设计硬件电路时省去了外部存储器,节省了资源,而

串联电路实验报告

串联电路实验报告 篇一:实验报告:组成串联电路和并联电路a 连接串联电路和并联电路 一、实验目的:掌握_____________、______________的连接方式。 二、实验器材: __________、__________、__________、__________、___________。 三、步骤: (一).组成串联电路 1.按图1-1的电路图,先用铅笔将图1-2中的电路元件,按电路图中的顺序连成实物电路图(要求元件位置不动,并且导线不能交叉)。在连接实物电路过程中,开关是 2.经电路连接无误后,闭合和断开结果填入表格中。 3.把开关改接到L1和L2之间,再改接到L2和电池负极间,观察开关控制两只灯泡的情况。将观察结果填入表格中。 (二)组成并联电路 1、在图方框中画出由两只灯泡L1、L2组成的并联电路。要求三个开关中的开关S控制干 路,开关S1和S2分别控制两个支路,并按电路图连接实物及实物图。 2、经检查电路连接无误后,把

3、闭合S1和S2,断开与闭合干路中的开关S,观察它控制哪个灯泡?将观察结果填入表 格中。 4、闭合S和S2,断开与闭合支路中的开关S1,观察它控制哪个灯泡?将观察结果填入表 格中。 5、闭合S和S1,断开与闭合支路开关S2,观察它控制哪个灯泡?将观察结果填入表格中。 (三)实验结论 串联电路:在串联电路里只有条电流路径;用电器)工作,它们之间(选填“会”或“不会”)相互影响;开关控制_____ ____用电器;如果开关的位置改变了,开关的控制作用_________. 并联电路:在并联电路里有条电流路径;用电器)工作,它们之间(选填“会”或“不会”)相互影响;干路开关控制_________用电器,支路开关控制_________用电器(四)、结束实验,整理仪器,把器材分类放好,依次推出实验室。 电学实验规则: 1.实验开始时:首先要依据实验要求,能正确地画出电路图。 2.选择器材时:要依据画出(含“给出”)的电路图,

相关文档
最新文档