大一数字逻辑大作业
数字逻辑课程三套作业及答案

数字逻辑课程作业_A一、单选题。
1.(4分)如图x1-229(D)。
A. (A)B. (B)C. (C)D. (D)知识点:第五章解析第五章译码器2.(4分)如图x1-82(C)。
A. (A)B. (B)C. (C)D. (D)知识点:第二章解析第二章其他复合逻辑运算及描述3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。
A. NB. 2NC. N2次方D. 2N次方知识点:第九章解析第九章计数器4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。
A. A. nB.C. C.2n-1D. D.2n-2n知识点:第九章解析第九章集成计数器5.(4分)如图x1-293(A)。
A. (A)B. (B)C. (C)D. (D)知识点:第十一章解析第十一章数字系统概述6.(4分)如图x1-317(D)。
A. (A)B. (B)C. (C)D. (D)知识点:第二章解析第二章其他复合逻辑运算及描述7.(4分)EPROM是指(C)。
A. A、随机读写存储器B. B、只读存储器C. C、光可擦除电可编程只读存储器D. D、电可擦可编程只读存储器知识点:第十章解析第十章只读存储器8.(4分)如图x1-407(B)。
A. (A)B. (B)C. (C)D. (D)知识点:第十一章解析第十一章数字系统概述9.(4分)为实现将JK触发器转换为D触发器,应使(A)。
A. J=D,K=D非B. B. K=D,J=D非C. =K=DD. =K=D非知识点:第六章解析第六章各种触发器的比较10.(4分)一位8421BCD码计数器至少需要(B)个触发器。
A. 3B.C.D.知识点:第九章解析第九章计数器11.(4分)为把50Hz的正弦波变成周期性矩形波,应当选用(A)。
A. A、施密特触发器B. B、单稳态电路C. C、多谐振荡器D. D、译码器知识点:第六章解析第六章集成触发器12.(4分)下列描述不正确的是(A)。
数字逻辑第一次大作业

数字逻辑第一次大作业一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭)输入变量输出变量数码管显示A B C D a b c d e f g0000 1111110 0000 1 0110000 10010 110110 1 2001 1 111100 1 30100 011001 1 4010 1 101101 1 50110 101111 1 6011 1 1110000 71000 111111 1 8100 1 111101 1 91010 111011 1 A101 1 001111 1 B1100 1001110 C110 1 011110 1 D1110 100111 1 E111 1 100011 1 F二.卡诺图化简:A B C D a 0000 1000 1 0 0010 1001 1 1 0100 0010 1 1 0110 1011 1 1 1000 1100 1 1 1010 1101 1 0 1100 1110 1 0 1110 1ABCD00 01 11 1000 1 0 1 101 0 1 0 111 1 1 1 010 1 1 1 1Fa=B̅D̅+A̅BD+A B̅C̅+A̅C+BC+A D̅111 1 1 A B C D b0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0ABCD00 01 11 1000 1 1 0 101 1 0 1 111 1 1 0 010 1 0 0 1Fb=B̅D̅+B̅C̅+A̅C̅D̅+A̅CD+A C̅DABCD00 01 11 1000 1 1 0 101 1 1 1 111 1 1 0 110 0 1 0 1Fc=A̅C̅+A̅D+A̅B+A B̅+C̅DA B C D f 0000 1 000 1 0 0010 0 001 1 0 0100 1 010 1 1 0110 1 011 1 0 1000 1 100 1 1 1010 1 101 1 1 1100 1 110 1 0 1110 1 111 1 1A B C Dg 0000 0 000 1 0 0010 1 001 1 1 0100 1 010 1 1 0110 1 011 1 0 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 1 111 1 1三.在Quartus 中,建工程,采用原理图设计方法,画整体电路图,AB CD 00 01 11 10 00 1 1 1 1 01 0 1 0 1 11 0 0 1 1 10111F=AC+A B̅+B D ̅+C ̅D ̅+A ̅B C ̅ AB CD 00 01 11 10 00 0 1 0 1 01 0 1 1 1 11 1 0 1 1 101111Fg=A B̅+C D ̅+AD+B ̅C+A ̅B C ̅设定I/O,编译纠错第一次编译出错,Input与Output中部分名称重复,改Input中“A”为“In-A”,B、C、D同理。
2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_姓名学号西安电子科技大学网络与继续教育学院2020 学年下学期《数字逻辑电路》期末考试试题(综合大作业)题号一二三四总分题分30 10 30 30得分考试说明:1、大作业试题于2020 年10 月15 日公布:(1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷;(2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷;(3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整;2、考试必须独立完成,如发现抄袭、雷同均按零分计;3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写完成,要求字迹工整、卷面干净。
一、单项选择题(每小题2 分,共40 分)1、下列各数中与十进制数101 不相等的数是( D )。
A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)162、八进制数(35)8的8421BCD 是( B )。
A.0011 1000B.0010 1001C.0011 0101D.0010 11003、为使与非门输出为1 则输入( A )。
A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可4、函数F AC BC AB与其相等的表达式是( B )。
A.BC B.C+AB C.AC AB D.AB5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。
A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=0116、四变量的最小项ABCD 的逻辑相邻项是( A )。
A .ABCDB .ABCDC .ABCD D .ABCD 7、函数 F ABC B .C (A D )BC 的对偶式是( C )。
A .G (A B C )(B C )(AD B C )B .G A BC (B C )ADB CC .G A B C (B C )(AD B C )D .G A BC (B C )AD B C8、FA B C ADE BDE ABC 的最简式为( A )。
大学数字逻辑试题(有答案)

一、 填空题(每空2分,共16分)1、(1011.11)B =(11.75) D =(B.C )H2、(16)D =(00010110 )8421BCD 码。
3、试举出CMOS 三个电路的优点(电源电压范围宽、带负载能力强、 抗干扰能力强)。
4、)(CD B B A Y +=则其对偶式Y`为()(D C B B A +++或B A +)5、ABC C B A C AB C B A Y ++=),,( 的最简式为Y=(AB+AC )二 、选择题(每题2分,共10分)1、DE BC A Y +=的反函数为Y =( )。
(A )E D C B A Y +++⋅= (B) E D C B A Y +++⋅= (C) )(E D C B A Y +++⋅= (D) )(E D C B A Y +++⋅= 2、下列哪个元件是CMOS 器件( )(A )74S00 (B) 74LS00 (C)74HC00 (D) 74H00 3(A )Y=AB·CD (D) Y= AB·CD4、若用1表示高电平,0表示低电平,则是( )。
(A )正逻辑 (B) 负逻辑 (C)正、负逻辑 (D)任意逻辑5、数字电路中除具有高电平、低电平两种状态外,还具有第三态即( )。
(A )高阻态 (B) 低阻态 (C)1态 (D) 混合态6、下逻辑图的逻辑表达式为( )。
(A )AC BC AB Y = (B) BC AC AB Y ++= (C) BC AC AB Y ++= (D) BC AC AB Y = 7、在数字电路中晶体管一般工作在( )区域。
(A )放大区 (B) 截止区 (C) 截止和饱和区 (D)饱和区 8、写出下图的逻辑表达式( )。
(A )INH CD BC AB Y ∙= (B) Y=ilol I i INH AD BC Y (max)++=(C) INH CD AB Y ∙+= (D) INH ABCD Y +=三、(第1 题5分,第2题7分,共12 分) 1、用逻辑代数的基本公式证明等式成立()()()1=+++++C B D B A C B CD C B A证明: 左式=A+B+ C + D C +(B+ C )(A B D+ B C )=A+B+ C +C+ D +(B+ C )(A B D+ B C )=1=右式2、计算已知74 系列TTL 与非门组成的电路中,计算门G M ,能驱动多少同样的与非门,要求G M 输出的高低电平满足,V OH ≥3.2V , V OL ≤0.4V ,与非门的输入电流为I IL ≤-1.6mA, I IH ≤40μA, V OL ≤0.4V 时输出电流的最大值I ILmax =16mA, V OH ≥3.2V 时输出电流的最大值I Ihmax =-0.4mA, G M 的输出电阻忽略不计。
数字逻辑第一次大作业

..一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭)二.卡诺图化简:三.在Quartus 中,建工程,采用原理图设计方法,画整体电路图,设定I/O ,编译纠错第一次编译出错,Input与Output中部分名称重复,改Input中“A”为“In-A”,B、C、D同理。
第二次编译通过。
四.在Quartus中,对所设计的电路进行整体功能仿真:五.仅采用与非门实现的解决方案:根据摩根代换定律,将a~f的表达式改为与非式,在Quartus中重新用原理图的方法画出整体电路图,设定I/O,根据新表达式在电路中适当添加非门,编译纠错。
并对电路功能进行整体仿真。
六.支持共阳极数码管的解决方案:将a~f的输出信号按位取反。
即在各信号输出之前添加非门。
七.填写真值表:八.自定义三个4变量功能函数(不能重复前面的三变量函数功能),填写真值表:九.小结:首先明白了数码管工作原理后,通过写出a~f输出变量的表达式熟练了将真值表在卡诺图上表示的方法。
(由于BD字样不易显示,选用小写b和d)之后在摸索中掌握了Quartus中原理图的使用方法。
第一次编译得知在定义名称时字母不区分大写小写,A与a 将被视为重复命名。
第二次只是有几个warnings,给忽略了。
进行仿真时,由于和原理图设计是分两次进行的,在选择“Node Finder...”插入节点时系统没有自动选中我之前保存的bdf文件,显示“No node available”。
重新打开之前的工程文件重试后成功。
在仿真时不知道是否应该将ABCD连续设置16次分别观察是否显示0~F,还是将输入信号设为随即信号,整体观察。
后来一想没啥区别,后者逐个信号竖向观察就能知道显示的数字对不对,还更方便。
观察仿真波形发现,在固定输入信号时,确实输出的信号符合要求。
选做题没想出好方法,觉得只有同时连两条线路才可实现。
其实已开始对这个软件真是无从下手。
多亏几个朋友对我的帮助,我才掌握了基本使用方法。
数字逻辑大作业

数字逻辑大作业报告设计题目:电子密码锁班级: 1203105组员:任课教师:张彦航计算机科学与技术学院目录1. 设计目的及要求2. 设计意义3. 工作原理框图4. 各模块的设计5. 调试过程6. 设计结论7. 设计心得与总结8. 参考文献9. 附录一:总体器件表及相关器件的功能表、管脚分布附录二:总体设计图附录三:仿真结果1.设计目的及要求(1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
2.设计意义随着社会的发展,人们对日常生活中的隐私保护越来越重视,传统的机械式钥匙开锁显然已经不能满足人们的要求,所以,为了满足人们的这一要求,增加安全性,用密码锁来代替钥匙的机械锁应运而生。
就密码锁而言,它具有更佳的安全性,保密性更好,同时密码也是可变的,使用起来更加的灵活,而且易于操作,在现实生活中得到了广泛的应用。
3.原理框图如上。
系统分为四个主要部分,其中键盘输入接受用户的输入S1---s9操作,移位寄存器记忆用户的输入状态,并给计数器一个输入开始信号,令其开始5cp时钟沿的计时。
如果用户输入正确,则计时器停止计数,结果显示执行输入正确的操作,如果5cp时钟沿内没有输入正确的密码,则电路复位。
输入s10,进行修改密码操作,并将密码保存在移位寄存器中。
4.各模块的设计编码器,将1---9转换为BCD码模块:输入由9个PB_DPST开关构成,用户按下开关时产生一个上升沿信号,通过自行设计的编码器将其转化为BCD码(输出在右上方)。
S1—s9作为密码输入按钮,s10作为密码修改的开关。
数字逻辑课程三套作业及答案资料

数字逻辑课程作业A、单选题。
1.(4 分)如图xl-229某一译码器的输出端共有臼种不的组颌U其输入端備几个输入线? (A)3;(B J4;(0)5; 1D16A.(A)B.(B)C.(C)D.(D)知识点:第五章解析第五章译码器2.(4 分)如图xl-82F图所示河一逻辑电路,八"是输入端,F是输出端,则其输出与输入关系式是,{AiiA+B}iC+ DiE; .B^A+B+C+p-FE); iC) (A +云)QO+童);(D)AB[CD+Ei(C )A.(A)B.(B)C.(C)D.(D)知识点:第二章解析第二章其他复合逻辑运算及描述3.(4分)N个触发器可以构成最大计数长度(进制数)为(A.NB.2NC.N2次方D.2N次方知识点:第九章解析第九章计数器4.(4分)n个触发器构成的扭环型计数器中,无效状态有(B. B.2nC.C. 2n —1D. D . 2n-2n知识点:第九章解析第九章集成计数器5.(4 分)如图X1-293D )的计数器。
D )个。
在数字系统中其信号系仅貝E与即高电位与低电位两种: 迢】依电压犬小不等而定;依电流大小不等而定;①〕看需要而定A.(A)B.(B)C.(C)D.(D)知识点:第十一章解析第十一章数字系统概述6.(4 分)如图X1-317和项#只式的基本架构矢何?| A A'A ND—MAXD • IB i A XD—OR;(Q AND ―A.(A)B.(B)C.(C)D.(D)知识点:第二章解析第二章其他复合逻辑运算及描述7.(4 分)EPROM 是指( C )A.A、随机读写存储器B. B、只读存储器C.C、光可擦除电可编程只读存储器R? (DiO罠一AND(D )D.D、电可擦可编程只读存储器知识点:第十章解析第十章只读存储器8.(4 分)如图xl-407属于近似的不连续表示法丸?〔A]模拟表示法;|空数字表示法;1匚凰寸数表示法;|=>线性系统)°A.(A)B.(B)C.(C)D.(D)知识点:第十一章解析第十一章数字系统概述9.(4分)为实现将JK触发器转换为D触发器,应使( A )A.J=D,K=D 非B. B. K=D,J=D 非C. C.J=K=DD. D.J=K=D 非知识点:第六章解析第六章各种触发器的比较10.(4分)一位8421BCD码计数器至少需要(B )个触发器。
数字逻辑题目及其答案和解析(1)一共60道题

第一部分:1.在二进制系统中,下列哪种运算符表示逻辑与操作?A) amp;B) |C) ^D) ~解析:正确答案是 A。
在二进制系统中,amp; 表示逻辑与操作,它仅在两个位都为1时返回1。
2.在数字逻辑中,Karnaugh 地图通常用于简化哪种类型的逻辑表达式?A) 与门B) 或门C) 异或门D) 与非门解析:正确答案是B。
Karnaugh 地图通常用于简化或门的逻辑表达式,以减少门电路的复杂性。
3.一个全加器有多少个输入?A) 1B) 2C) 3D) 4解析:正确答案是 C。
一个全加器有三个输入:两个加数位和一个进位位。
4.下列哪种逻辑门可以实现 NOT 操作?A) 与门B) 或门C) 异或门D) 与非门解析:正确答案是 D。
与非门可以实现 NOT 操作,当且仅当输入为0时输出为1,输入为1时输出为0。
5.在数字逻辑中,Mux 是指什么?A) 多路复用器B) 解码器C) 编码器D) 多路分配器解析:正确答案是 A。
Mux 是指多路复用器,它可以选择输入中的一个,并将其发送到输出。
6.在二进制加法中,下列哪个条件表示进位?A) 0 + 0B) 0 + 1C) 1 + 0D) 1 + 1解析:正确答案是 D。
在二进制加法中,当两个位都为1时,会产生进位。
7.在数字逻辑中,一个 JK 触发器有多少个输入?A) 1B) 2C) 3D) 4解析:正确答案是 B。
一个 JK 触发器有两个输入:J 和 K。
8.下列哪种逻辑门具有两个输入,且输出为两个输入的逻辑与?A) 与门B) 或门C) 异或门D) 与非门解析:正确答案是 A。
与门具有两个输入,只有当两个输入都为1时,输出才为1。
9.在数字逻辑中,下列哪种元件可用于存储单个位?A) 寄存器B) 计数器C) 锁存器D) 可编程逻辑门阵列解析:正确答案是 C。
锁存器可用于存储单个位,它可以保持输入信号的状态。
10.一个带有三个输入的逻辑门,每个输入可以是0或1,一共有多少种可能的输入组合?A) 3B) 6C) 8D) 12解析:正确答案是 C。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
大一数字逻辑大作业——乒乓球比赛模拟机的设计题目:乒乓球比赛模拟机的设计院系:软件学院专业年级: 14姓名与学号:王峥 1143710510孙一铀 1143710513周擎阳 1143710312指导老师:张彦航2015年6月18日目录1 绪论1.1设计目的 (3)1.2设计要求 (3)2 电路组成及工作原理2.1系统逻辑模型 (4)2.1.1系统模块需求 (4)2.1.2组员分工 (4)2.2系统模块结构 (4)2.2.1全局控制模块 (4)2.2.1.1连续四脉冲发生单元 (5)2.2.1.2数据初始化单元 (6)2.2.1.3倒计时显像单元 (7)2.2.1.4 开球信号产生单元 (8)2.2.1.5 模块总体设计展示 (9)2.2.1.4.1逻辑图 (9)2.2.1.4.1波形图 (10)2.2.2中央控制模块 (10)2.2.2.1程序综述 (10)2.2.2.2 代码实现 (11)2.2.2.3实现效果 (13)2.2.3计数模块 (14)2.2.3.1局比分计数单元 (14)2.2.3.2大比分计数单元 (15)2.2.3.3数据清零单元 (16)2.2.3.4信息反馈单元 (17)2.2.3.5显像管显示单元 (18)3 调试过程3.1全局控制模块 (20)3.2中央控制模块 (24)3.3计数模块 (26)4 设计结论 (27)5 设计心得与总结 (28)附录一:总体器件表及相关器件的功能表、管脚分布 (29)附录二:总体设计图 (30)附录三:仿真结果 (32)附录四:工作说明 (32)参考文献 (32)1 绪论随着科学技术的发展,人类社会已进入到高度发达的信息化社会, 信息社会的发展离不开电子产品的进步。
现代电子产品的发展越来越快, 各种新型电子元器件和智能化的电子产品已经在国民经济的各个领域和人民生活的各个方面得到了日益广泛的应用。
实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。
其中电子玩具的发展也是在日益成熟。
乒乓球游戏机控制电路是有甲、乙双方参赛,自动控制发“球”的三人乒乓球游戏机;它能完成自动裁判和自动计分是一个带数字显示的模拟游戏机。
其结构简单、成本低、易操作,安全性强、无污染。
乒乓球游戏机还能在娱乐的同时提高我们的反应、应变能力。
具有良好的市场发展前景。
1.1 设计目的本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用Max+Plus2电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。
通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Max+Plus2仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及逻辑门电路的一些实际用途,并将理论与实践相结合。
1.2 设计要求(1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。
(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
附加功能设计:1、一方得分,电路自动提示3秒,此期间发球无效2、某方达到11分后自动清0重新计数,用LED灯管显示获胜局数2 电路组成及工作原理2.1 系统逻辑模型2.1.1 系统模块需求(1)全局控制模块:1、外界输入的全局开始信号2、接受一个回合的结束信号,在数码管上显示倒计时,倒计时期间发球无效。
3、接受一局结束的信号,使大部分单元清0功能。
4、接受计数模块送来的换发球信号,交换发球权。
(2)中央控制模块:1、外界输入的挥拍信号2、全局控制模块送来的开球使能信号3、模拟球台上显示球的双向移动4、回合结束后给出一个回合的结束信号(3)计数模块:1、接受一个回合的结束信号,在数码管上显示比分计数2、在数码管上显示发球计数3、在数码管上显示双方获胜局数统计4、一局结束后送出一局结束的信号5、每记满5分送出交换发球信号2.1.2 组员分工(1) 全局控制模块:孙一铀(2) 中央控制模块:周擎阳(3) 计数模块:王铮2.2 系统模块结构2.2.1 全局控制单元2.2.1.1连续四脉冲发生单元1、单元需求:当一个回合开始信号脉冲到来时,从第一个时钟上升沿开始拷贝连续四段波形。
2、单元目的:给倒计时显像单元连续四个脉冲便于显像3、单元管脚:(1) Start(In):回合开始信号,由中央控制单元给出,经技术单元转发到该模块(2) Clean(In):清0端(3) Clock(In):时钟端(4) Mb(In):恒为1(5) Ma(In):由数据初始化单元给定(6) D_cp(Out):连续四脉冲输出时钟端4、单元设计思路:该单元由两部分构成,一部分由双D触发器构成,一部分由74194双向移位寄存器构成,采用双D触发器能使在第四个脉冲到来时不再产生多余脉冲(具体原理设计可参见调试过程),采用74194双向移位计数其来控制电路产生正好四个脉冲,从逻辑图中可知,ABC端接地,D端接电源,每次回合开始信号到来时,Ma先送入一个逻辑“1”信号,而Mb端恒为“0”,此时进行读操作,后来Ma置为“0”,此时进行左移操作,当左移四次后,Qa端产生一个下降沿,由双D触发器控制74194不再进行工作,同时数据清0.5、单元逻辑图展示:6、单元波形图展示:2.2.1.2数据初始化单元1、单元需求:当一个开始信号到来时,给出一个Ma的“0-1-0”脉冲,要求该脉冲上升沿与start信号重合,下降沿与start信号后第一个时钟信号的下降沿重合。
保证在第一个时钟上升沿到来时2、单元目的:外界信号只有Start、Clock 所以Ma信号一定是由电路自身给定,要求该脉冲正好覆盖start上升沿后的第一个时钟。
3、单元管脚:(1) Start(In):回合开始信号,由中央控制单元给出,经技术单元转发到该模块(2) Clock(In):时钟端(3) Ma(Out):初始化信号输出端4、单元设计思路:该单元由三个部分构成,右边的部分由D触发器构成,该部分功能是1个摩2计数器,时钟端仅接法如图所示,仅当start上升沿与有效时钟的下降沿触发。
当Start信号上升沿到来时,上部分的D触发器的清0端被置为1,时钟端接收到有效的上升脉冲,Q端输出由0变为1,下方的信号输出方为有效(通过中央与门)。
下方的部分由两个D触发器构成,第一个触发器的功能也为模2计数器,第二个触发器的清0端由第一个触发器控制,仅当第一个触发器的Q端输出为一时,第二个触发器才能正常工作,换言之,只有接收到一个时钟上升沿后,再接受一个下降沿才是有效的信号,仅仅一个下降沿的输出是无效的。
为什么要这么做呢?由下图波形图所示,由Start信号的任意性可知,Start信号的上升沿后,收到的第一个时钟变化沿可能就是一个下降沿,然而这不是一个完整的时钟信号,不符合我们的需求,所以为了覆盖一个完整的时钟,所以只有当遇到一个时钟上升沿后,送入的下降沿才是有效的。
5、单元逻辑图展示:2.2.1.3倒计时显像单元1、单元需求:根据送入连续四个脉冲分别显示0-3-2-1-0字形。
2、单元目的:用7段数码管显示倒计时信号3、单元管脚:(1)Clock(In):时钟端,四个连续脉冲,由连续四脉冲发生单元给出(2)syy_a ——syy_g(Out):数码管接入信号(3)Enable(Out):由syy_a给出,经观察发现,syy_a端正好在四个脉冲结束后给出一个上升沿,正好可以作为送给中央控制单元的发球使能信号。
4、单元设计思路:该单元分为两个部分,第一个部分为双D触发器构成的模4计数器,第二个部分为译码器电路。
设计如下:逻辑表达式如下:a = A’+ B’b = 1c = A’+ Bd = ae = B’f = A’·B’g = A⊕B5、单元波形图展示:2.2.1.4 开球信号产生单元1、单元需求:每一个结束信号到来时,完成以下时序图的转变。
2、单元目的:每一回合结束时,交换发球权。
3、单元管脚:(1) Change(In):换发球时钟信号,由计数单元给出(2) Ca(Out):逻辑0表示发球权不在a手中(3) Cb(Out):逻辑0表示发球权不在b手中4、单元设计思路:只有3种状态,所以用双D触发器即可实现该同步时序计数器的转换5、单元逻辑图展示:6、单元波形图展示:2.2.1.4 模块总体设计展示2.2.1.4.1逻辑图2.2.1.4.1波形图2.2.2 中央控制模块本单元难度较大,单纯用连线图的方式很难实现.因此决定采用vhdl 进行硬件语言编程,从而更好的调节程序.2.2.2.1 程序综述程序图如图所示其中输入:clk是时钟端,负责接受时钟信号.Ca,Cb是开球信号,Enable是使能信号,a,b则是模拟挥拍信号.输出:Ball是模拟乒乓球的输出信号Sa,Sb是给计数模块的胜负信号Over是比赛结束后送给计数模块的一个上升沿端2.2.2.2 代码实现由于对vhdl并不熟悉,在实现过程中部分参考了[1]/link?url=WMEVDGL Y90wHgMZNvq5aWxnvzPxfzKN29oUDH9m2hA UuFrLkuoJdHQrrx4yeISvYfmsiik58H_TROIdgU2fIRkLDeGY umeXkIAlZtegxhpq对代码进行了理解和重写,写出了自己的控制代码.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity CENTER isport (clk:in STD_LOGIC;--时钟信号Ca:in STD_LOGIC;--a端发球信号Cb:in STD_LOGIC;--b端发球信号Enable: in STD_LOGIC;--使能信号a:in STD_LOGIC;--a挥拍信号b:in STD_LOGIC;--b挥拍信号ball:out STD_LOGIC_VECTOR(16 downto 0);--模拟球的运行Sa:out STD_uLOGIC;--a是否获胜Sb:out STD_uLOGIC;--b时候获胜over:inout STD_uLOGIC--一局结束提供一个上升沿);end CENTER;architecture behavior of CENTER issignal direction : integer range 0 to 2; --指定方向0:a->b1:b->a2:未发球signal num : integer range -1 to 17; --确定那盏灯点亮beginprocess (Enable,clk,a,b,Ca,Cb)beginif Enable = '0' then --使能信号未来,初始化over <= '0';Sa <= '0';Sb <= '0';num <= 1;direction <= 2;elsif rising_edge(clk) then--上升沿进行判断if direction = 2 then--未发球检测发球信号if Ca = '1' thendirection <= 0;num <= 1;elsif Cb = '1' thendirection <= 1;num <= 8;elsedirection <= 2;num <= 1;end if;elsif direction = 0 and num <= 8 then--球从a->bif b = '1' then--此时b挥拍if num >= 6 then--击中,反向num <= num - 1;direction <= 1;Else--未击中,结束direction <= 2;Sa <= '1';Sb <= '0';over <=not over;end if;else num <= num + 1; direction <= 0;--b未挥拍,继续运行end if;elsif direction = 1 and num >= 1 then--球从b->aif a = '1' then--a挥拍if num <= 2 then--a击中,反向num <= num + 1;direction <= 0;Else--未击中,结束direction <= 2;Sa <= '0';Sb <= '1';over <= not over;end if;else direction <= 1; num <= num - 1;--a未挥拍,继续end if;end if;end if;end process;process (num)--输出球的运动轨迹begincase num iswhen 1 => ball<="10000000";when 2 => ball<="01000000";when 3 => ball<="00100000";when 4 => ball<="00010000";when 5 => ball<="00001000";when 6 => ball<="00000100";when 7 => ball<="00000010";when 8 => ball<="00000001";when others => ball <="00000000";end case;end process;end behavior;2.2.2.3 实现效果与预期相同,证明程序正确2.2.3 计数模块2.2.3.1局比分计数单元1.单元需求:来自中央控制模块的回合结束信号,以及表示AB胜负关系的01,10信号2、单元目的:记录单局比分(一局11分)3、单元管脚:CLK、CLN、QA、QB、QC、QD4、单元设计思路:利用74161的异步清零实现11进制加法计数器,利用中央控制模块的回合结束信号,以及表示AB胜负关系的01,10信号,通过与门连接后作为计数器的时钟端,则胜利方每回合结束后其计数器会得到一个信号,是计数器加一,当信号给出1011时计数器清零,并通过计数清零单元实现计数器的同时清零5、单元逻辑图展示:6、单元波形图展示:本部分借用显示管显像单元的波形图展示局分计数器的功能2.2.3.2大比分计数单元1.单元需求:局分计数单元在一局比赛结束后发出的信号2、单元目的:记录双方的大比分3、单元管脚:CLK、QB、QC、QD4、单元设计思路:设置本游戏为九局五胜制,利用74290的五进制功能实现五进制加法计数器,由于74161为异步清零,利用最后1011信号作为作为时钟信号,是每局比赛结束后获胜方大比分加一,当总分为5时比赛结束5、单元逻辑图展示:6、单元波形图展示:如图当A1~A11的二极管全亮时(A得11分),QA1由0变1,即大比分加一2.2.3.3数据清零单元1.单元需求:某一方一局得分为11时给出的1011信号2、单元目的:当一方先得到11分时,双分的比分同时清零3、单元管脚:CLK、QA、QB、QC、QD4、单元设计思路:通过与门连接QA、QB、QD端,并由抑或非门连接,当且仅当有一方为1011信号时,异或非的输出端会由1变0,此时传给清零信号,实现清零功能5、单元逻辑图展示:6、单元波形图展示:当A得到11分时,AB的分数同时清零(A1的下一个1的出现是由于下一回合A 又得了一分)2.2.3.4信息反馈单元1.单元需求:比分计数器的清零信号,以及中央控制模块的回合结束信号2、单元目的:传递给全局控制单元开球信号、每五个回合换发球的信号以及每局开始信号3、单元管脚:clock、CLK、QA、QB、QC、QD4、单元设计思路:wave1为每五回合换发球信号,由74290设计的五进制加法计数器,利用其每五次一个的清零信号设计成一个,wave2为每局开始时的发球信号,利用局分计数器1011信号,同样可以提供5、单元逻辑图展示:6、单元波形图展示:2.2.3.5二极管显示单元1.单元需求:局分计数器和大比分计数器的记分信号2、单元目的:将二进制数码转换为直观的二极管显示3、单元管脚:A1~A11、B1~B114、单元设计思路:A1=A+B+C+DA2=A+B+CA3=A+B+CDA4=A+BA5=A+BD+BCA6=A+BCA7=A+BCDA8=AA9=AD+ACA10=ACA11=ACD则可以得出逻辑图,同理可得出大比分计数器的二极管显示单元的逻辑电路5、单元逻辑图展示:6、单元波形图展示:3 调试过程3.1 全局控制模块——By 孙一铀Debug1:连续四脉冲发生单元还还只处于雏形的时候。