相位差测量电路设计

相位差测量电路设计
相位差测量电路设计

本科毕业设计

( 2015届)

题目:相位差测量电路的设计

学院:机电工程学院

专业:自动化

学生姓名:学号:

指导教师:职称(学位):讲师

合作导师:职称(学位):完成时间:2015 年 5 月 28日

成绩:

黄山学院教务处制

原创性声明

兹呈交的设计作品,是本人在指导老师指导下独立完成的成果。本人在设计中参考的其他个人或集体的成果,均在设计作品文字说明中以明确方式标明。本人依法享有和承担由此设计作品而产生的权利和责任。

声明人(签名):

年月日

目录

摘要.................................................................................................错误!未定义书签。英文摘要.?错误!未定义书签。

1 绪论?1

1.1研究背景及意义?错误!未定义书签。

1.2 发展现状和发展趋势?错误!未定义书签。

1.2.1国外发展状况........................................................错误!未定义书签。

1.2.2 国内发展状况?错误!未定义书签。

1.2.3 发展趋势........................................................................错误!未定义书签。

2 相位差测量的基本原理.............................................................错误!未定义书签。

2.1 相位的基本概念.............................................................错误!未定义书签。

2.2相位差测量原理?错误!未定义书签。

2.3 电路设计原理...................................................................错误!未定义书签。3设计与分析.. (6)

3.1 移相电路...........................................................................错误!未定义书签。

3.1.1 方案分析?错误!未定义书签。

3.1.2 移相电路设计................................................................错误!未定义书签。

3.2 检测电路?错误!未定义书签。

3.2.1 方案分析?错误!未定义书签。

3.2.2 检测电路设计?错误!未定义书签。

3.2.3 LM339特性分析?错误!未定义书签。

3.2.4 双稳态触发器?错误!未定义书签。

3.3 计数显示电路................................................................错误!未定义书签。

3.3.1 方案分析?错误!未定义书签。

3.3.2 计数显示电路设计......................................................错误!未定义书签。

3.3.3 数码管工作原理?错误!未定义书签。

4 仿真与调试?错误!未定义书签。

5实验分析...................................................................................错误!未定义书签。总结?错误!未定义书签。

参考文献?错误!未定义书签。

致谢?错误!未定义书签。

附录?错误!未定义书签。

相位差测量电路设计

机电工程学院自动化专业

指导老师:(讲师)

摘要: 随着计算机以及电子技术的发展,相位差测量技术作为常用的信号测量技术,

得到了快速发展,已经成为现代科学研究不可或缺的一部分。相位检测在信号变换、信息采集、控制等方面有着重要的意义,特别是在电气、工业自动化、智能控制及通讯电子等许多领域都有广泛的应用。因此,相位差测量技术在信号的处理、检测方面有着极其重要的作用。

为了满足本课题的要求,从相位差的测量方法入手,设计了一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。

该设计主要包括三个方面的即移相电路设计、相位差测量电路设计、计数显示电路设计等。其主要过程是将源信号为一个正弦波经过由两级RC移相器和电压跟随器组成的移相电路后,得到一个移相后的正弦波,两个信号输入相位差检测电路进行整形电路和获得源信号和移相后信号的相位差信号,最后输出相位差波形的宽度,即源信号的过零点与被测量信号的过零点之间的时间差。然后再通过显示电路,最终由共阴极数码管显示出来。

关键词:相位差;移相电路;检测电路;计数显示电路;整形电路

Design of CircuitinPhase

DifferenceMeasurement (College of mechanical and electrical engineering,Huangshan University,245021) Abstract:With the development ofcomputer andelectronic technology,the phase difference measurement technology,as a common signal measurement technology,has been rapid development andhas bec omean indispensable part of modern scientific research.Phase detection is of great significancein terms of signal transformation, data collection,control,especiallyit is widely usedinmany fields ,such as electrical,industrial automation,intelligent controland communications, electronics and soon. Therefore, phase difference measurement technology plays averyimportant roleinsignal processinganddetection .

In orderto meet the requirements of this subject,I designed a phase difference detectioncircuit starting from thephase difference measurement method.The circuit can test a after aphase shift circuitsignal (a sine wavephase shifter between the original signal and thephase difference canbe detected and display by the testcircui t.

The design mainly includes three aspects:the phase shift circuit design, the phase difference measurement circuitdesign, the counting anddisplay circuitdesign, etc..Source after a sinewave by two stage RC phase shifter and voltagefollowerof phase-shift circuit,aphase shifting sinewave isthe main process,thetwo input signal phasedifference detection circuit shaping circuit and sourcesignal and phase signalphasedisparitysignal,finallyoutputphase differencewaveformwidth, namelysignal source ofzeroand measured signal zero crossi ng point between the timedifference. And thenthrough thedisplaycirc uit,andultimately by thecommoncathode digital tubedisplay.

Key words: Phasedifference ; Detection circuit;Counting display circuit; Display circuit ; Plastic circuit

?1绪论

1.1研究背景及意义

随着微机和电子技术的快速发展,信号相位测量技术已成为现代科技社会不

可缺少的一部分。相位差测量在多方面有着重要意义,包括信息的采集、信号的检测和控制等等方面,特别是在电力行业、工业自动化、智能化和其他的通讯电子等方面有着广泛应用。例如:

(1)确定电路谐振特性。当电路发生谐振时,该电路的L、C两端的电压相等但相位相反,所以两信号相互抵消,即可确定两个信号的相位为零。因此,根据相位差来确定该电路是否发生谐振。

(2)测量信号的传输特性。我们可以通过测量某个信号的幅频和相频的特性,然后根据以此来分析信号的传输特性。

(3)测量信号的延时特性。通过测量信号的相位差,可以分析该信号的延时特性。

(4)测量和校正伺服系统。伺服系统是自动控制的重要组成部分,因其电动机、解调器等都设计成响应于同相信号,故它需要经常测量信号的相位。

(5)测量功率因数。如在交流电路中,功率因数是电压与电流之间相位差的余弦,用θ

cos是函数关系,因此可以通过使用相位差测量的方法, cos表示。因为θ与θ

先测得θ值后再计算θ

cos的值。

(6)测量距离和方向。该功能应用非常的广泛,如相位式激光测距,其原理是通过发出连续的调制光波来回往返产生的相位延迟进行测量,间接的测量出光经过被测物体距离的时间,从而求出被测距离[1]。

由此可见,相位差测量技术广泛应用于医疗、勘探、电力、航空航天、石油冶金等多种领域。

1.2 发展现状和发展趋势

1.2.1 国外发展状况

国外对于相位差测量的研究起步早,如俄罗斯、英国、德国尤其美国该技术一直处于领先地位。如美国的Agilent(安捷伦)、德国德图、日本横河等公司在相位差测量技术方面取得优异的成就,如图1-1所示,Agilent 53132A型通用计数器,该计数器频率分辨率达12位/秒;频率范围:CH1和2:dc~225MHz;测量速度可达到200次测量/秒在GPIB上。如图1-2所示,美国CH公司生产的型号CH6000A高精度相位计,其分别率达0.001°,是迄今最好的相位计,精度0.020°,频率响应:5Hz~1MHz,增加了USB接口,利用了最新的数字技术和优化模拟设计。

国外的产品主要特点是可以测量幅值、周期还包括相位、频率等多种参数的测量,尤其重要的是他们的产品测量精度高,利用非常先进的数字芯片,这样的优点是测量精度高、频率范围宽、抗干扰好。

图1-1Agilent 53132A型计数器

图1-2CH6000A型高精度相位计

1.2.2 国内发展状况

与国外技术相比,我国该项目研究相对落后,它起步于上个世纪六、七十年代,我国在此后的几十年取得了较大成就,但是测量精度相对低、使用的频率范围窄以及采用的器件、方法和技术与国外相比还是有很大的差距。

随着国内技术发展迅速,国内相位计产品技术得到快速提高,如上海旺平电气有限公司生产的WP9066A多功能相位计,如图1-3所示,该相位计可用表或数字液晶显示。该相位计主要参数如表1-1所示,相位测量范围大、频率高、测量精度相对较高等众多优点,其功能主要用于双电力系统,测量交流电流,电压两电压之间,两电流之间,及电压、电流之间的相位角度。

图1-3 WP9066A多功能相位计

表1-1 WP9066A多功能相位计技术参数

类型测量范围测量精度

相位

0~360° ±1° 电压

0~250V ±1.5% 电流

0.1~40A ±2% 频率

1Hz~9999Hz 1Hz 功能 测量交流电流,电压两电压之间,两电流之间,及电压、电流之间的

相位角度

总的来说,我国的相位差测量技术与发达国家相比还有很大的差距,主要表

现在产品种类少、产品测试功能单一,尤其重要的是仪器测量精度、数字化和自

动化程度低。

1.2.3 发展趋势

早期阶段的相位差测量技术一般采用的方法包括李沙育法、和差法、阻抗法

等,这些测量方法虽然简单,但是重大弊端是测量精度低,不符合现在科学技术的

发展和需要,所以出现利用数字电路、微处理器等构成电路系统,使得测量精度得

到极大的提高。该技术极大的简化设计程序,使得测量精度更高、功能齐全,是

目前社会未来发展的趋势。

目前,相位差测量技术广泛应用于众多领域和部门,如今测量电路具有运行

速度快、高精度、低成本等优点,它的应用领域宽广并取得了许多新的进展。尤

其国防技术的发展,需要发展高精度、多种功能的相位计。因此,在各种实时系

统之中对于相位差测量技术极其重要。

为了满足本课题的要求,设计了一个相位差检测电路,包括移相电路和显示电

路,该电路的主要功能是可以测量原信号和一个经过移相电路的信号(正弦波)移

相后之间存在的相位差,并最终由数码管显示。

2 相位差测量的基本原理

2.1 相位的基本概念

相位是信号的三种特性之一,它说明谐振波振荡在某一瞬时的状态。在数学上

定义为正弦或余弦的幅角,其数学模型为:

)sin()(θω+=t E t u m (2-1)

式(2-1)中m E 称为幅值,且E E m 2=,E 称为有效值,θ是初始角,θω+t 是相

位角,通常称为相位,可表示为:

θω?+=t t )(

(2-2)

2.2 相位差测量原理

只有两个同频率信号的相位差才有意义,我们可以通过式(2-2)可以看出相

位是时间t 的线性函数,令)(1t ?、)(2t ?表示角频率为1ω、2ω的两个简谐振荡的

相位,则有:

)()()()()()(21212121θθωθθωω???-+=-+-=-=t t t t t

(2-3)

由式(2-3)可知相位差也是时间t 的线性函数,若21ωω=时则有:

2121)()()(θθ???-=-=t t t (2-4)

式(2-4)表明,两个同频率信号的相位差为常数,由其初相位角之差确定,即我

们通常所说的静态相位差。假设,我们选定一个信号为参考信号,2

21θ???-=-=设它的初相角为零,如:01=θ则

)sin()(1t E t u ω=

(2-5)

)sin()(22θω+=t E t u

(2-6)

由式(2-5)确定的信号叫做基准信号,式(2-6)确定的信号称为被测信号

)(1t u 、)(2t u 的相位差:221θ???-=-=,负号表示1?滞后于2?或2?超前于1?。在实际测量中,首先找到基准信号)(1t u 过零点时刻,然后通过判断此刻被测信号

)(2t u 的幅值,幅值为正,则2?超前于1?,否则为滞后[2]。

2.3 电路设计原理

本次设计采用数字式相位差检测和显示,这样的方法的一个最大优点就是它

的干扰力小以及工作稳定。如图2-1所示是设计原理框图,源信号为一个正弦

波它经过由两级RC 移相器和电压跟随器组成的移相电路后,得到一个移相后的

正弦波,两个信号经过整形后输入双稳态触发器,获得源信号和移相后信号的相

位差信号,再经过由三个74L S192组成的计数器计数,然后通过锁存器74HC 3

73进行锁存,最终由数码管显示。

图2-1 原理框图

3 设计与分析

3.1 移相电路

3.1.1 方案分析

通常最简单的模拟电路移相是RC移相和LC 移相,我们一般采用的是RC 移

相电路。如图3-1所示,是两个简单的RC 移相电路。

图3-1 简单的RC移相

值得注意的是:移相电路对不同频率信号,所产生的移相角度是不相同的,

所以必须要针对特定的频率进行,本次设计选取的频率是1KH z。

图3-2 有源移相电路

通常我们将RC 与运放一起联系起来,如图3-2所示,组成有源的移相电路,

是典型的可调移相电路。它的移相调节范围为0°~90°,在本设计中1R 、2R 取10

KΩ,其传递函数推导如下:

i i i i i u C R j C R j u C R j u u R R C

j R C j u R R u 33321321011112)1(11

ωωωωω+-=++-=+++-= (3-1) 所以: C

R j C R j u u j A i 33011)(ωωω+-==

(3-2)

相频特性:

C R j 3arctan 2)(ωω?-= (3-3)

在本次设计中,1KHz 的正弦波s rad /1023?=πω,C 为10nF ,3R 为可调范围为0~15 KΩ的滑动变阻器。本次采用两级RC 移相器且为同频正弦波,所以相位差=?)()(21ω?ω?+[3]。

3.1.2 移相电路设计

本次设计的移相电路由两级RC 移相器和电压跟随器组成,采用电容滤波的方法。我们一般将RC 与运放联系起来组成有源的移相电路,如图3-3所示,一级移相移动相位90°,两级移相180°。本次设计采用两级移相,故移相范围为0°~180°。

图3-3 移相电路图

3.2 检测电路

3.2.1 方案分析

目前测量相位差的方法有很多种类型,传统的主要有直接比较法、李沙育图形法。现在一般使用过零检测法。

方案一:直接比较法

比较法其测量原理是将同频率1u 和2u 分别直接接到双踪示波器的1Y 和2Y 通道。如图3-4所示,设:

t U t u m ωsin )(11=

(3-4)

)sin()(22?ω-=t U t u m (3-5)

则相位差计算公式为: T T ???=360? A C A B t t t t --?

?=360 (3-6)

或 AC

AB ??=360 其中,)(),(A C A B t t k AC t t k AB -=-≈[4]

图3-4 直接测量法

直接比较法的弊端就是误差大,主要的测量误差来源大概包括三种:首先,示波器水平扫描的非线性,即扫描用的锯齿电压呈非线性。其次,垂直通道一致性引入附加相位差。最后,人眼读数产生的误差。

方案二:李沙育图形法

设测量两个同频率的正弦信号1S 、2S 的相位差。以1S 为x 轴,以2S 为y轴,作图。若图形为一条过零点的线且斜率为1,则相位差为0。若图形为一条过零点的线且斜率为-1,则相位差为π。若图形为椭圆,如3-5所示。设该椭圆交y轴于点(0,0y ),椭圆y向最大坐标m y ,则相位差为)/arcsin(0m y y 。将1S 、2S 接示波器的X输入端和Y 输入端,可通过观察示波器上的图形得到相位差[5]。

图3-5 李沙育图形法 该方法虽然简单,但是由于是通过人的肉眼来观察,所以很难以保证其测量精度,也不能使测量自动化,是不符合现在科学技术的发展和需要。

方案三:过零检测法

过零比较法是比较普遍的一种测量两信号间相位差的方法。它主要是分别判断出两个同频率正弦信号过零点的时刻,并且计算出它们之间的时间差,然后将这个时间转换为相应的时间差,从而测出相位[6]。

设)(1t u 为被测信号,)(2t u 为延时后的被测信号,其表达式分别是:

)sin()(111?ω+=t u t u m

(3-7)

)sin()(222?ω+=t u t u m (3-8)

显然)(1t u 和)(2t u 的频率相同,相位差是一个与时间无关的常数,t ?为延时时间,T 为被测信号周期。

如图3-6所示,可以通过以下公式计算得到1u 和2u 的相位差θ。

πθ2??=T

t (3-9)

式中,t ?为所测的两信号过零点时的时间差[7]。

图3-6 信号过零点的时间差

本设计使用数字化检测,所以需要对输入信号进行采样离散化,这样信号过零时间差t ?就会变为信号过零点之间的采样点数n 。相位差θ的计算公式如下:

f

T n T t ?=??=

ππθ22 (3-10)

式中,f 为采样频率;T 为周期信号[7]。

过零检测法的特点是电路简单,且具有测量分辨率高、线性好以及易于数字化等特点。

上述的三种测量相位的方法,各有优缺点,从测量范围、灵敏度、准确度、频率特性和谐波的敏感度等技术指标来看,在此次设计中将采用过零检测法。主要用到的是通过相位差转换成计数脉冲数进行测量,还易于实现数字化和自动化。综合所述,选择过零检测法比较合适。

3.2.2 检测电路设计

本次设计的检测电路模块主要包括整形电路和双稳态触发器的设计,其中,整形电路采用的是过零比较法将待测信号变成矩形波信号,然后再送到双稳态触发器进行下一步的处理。

图3-7检测电路图

本次设计针对两路信号采用了施密特触发器组成的整形电路,由于施密特触发器是在单门限电压比较器的基础上引入了正反馈网络,因为正反馈的作用,它的门限电压随着输出电压的变化而变化,从而使施密特触发器有两个门限电压,所以可以提高输入电路的抗干扰能力。为了避免待测信号和源信号在整形电路中产生附加移相或者发生相对移相,必须保证两个施密特触发器的两个门限电平对应相等,这可以通过调节电位器来实现,这样即使发生移相也能保证二者的相对移相为0,最后经过双稳态触发器,得到相位差信号。如图3-7所示,其中,U1、U 2分别是源信号和待测信号;U3、U4分别是经过过零比较整形后的两路矩形波信号[8]。

相位差检测波形图如图3-8所示,两个输入信号分别为U1和U2,,所得的输出波形为Q,其宽度即源信号的过零点与测量信号的过零点之间的时间差。

图3-8 相位差检测波形图

3.2.3 LM339特性分析

LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是: (1)失调电压小,典型值为2mV ;

(2)电源电压范围宽。单电源为2~36V ,双电源电压为±1V~±18V ; (3)对比较信号源的内阻限制较宽;

(4)共模范围很大,为0~)5.1(V V CC -0V ;

(5)差动输入电压范围较大,大到可以等于电源电压;

(6)输入端电位可灵活方便地选用。

L M339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压,另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV 就能确保输出能从一种状态可靠地转换到另一种状态。

图3-9 LM339外型及管脚排列图

LM339集成块采用C-14型封装,图3-9所示,为外形及管脚排列图。LM339相当于一只不接集电极电阻的晶体管三极管,在使用时输出端到正电源一般需接一只电阻。选用不同阻值的上拉电阻会影响输出高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。所以要加上上拉电阻才能保证有高电平输出,本次设计采用的是10KΩ上拉电阻[9]。

3.2.4双稳态触发器

双稳态触发器在相位差测量电路中起到测量时间差t 的作用,在这里我们选用的是74LS74芯片,74LS74芯片是一个边沿触发器数字电路器件,每个器件中包含两个相同的、相互独立的边沿触发D触发器电路[10]。

74LS74引脚图如图3-10所示:

图3-10 74LS74引脚图

74LS74的引脚功能:

1

Q、2

Q、

__

1

Q、

__

2

Q:数据输出端

1

CP、2

CP:时钟输入端

1

D、2

D:数据输入端

1

CLR2

CLR:直接复位端(低电平有效)

1

PR、2

PR:直接置位端(低电平有效)

74LS74芯片的逻辑图如图3-11所示:

图3-1174LS74逻辑图

74LS 74的输出输入波形图如图3-12所示,假设输入分别为A、B两个信号。

图3-12 74LS74输出输入波形图

3.3 计数显示电路

3.3.1 方案分析

计数显示电路是基于时间间隔测量法来设计,将相位差转换成时间间隔t ?,然后根据计数器时钟脉冲计数测量其时间间隔,然后再用计数器的时钟脉冲频率乘以n ?360,则最终数码管显示的值即以度为单位的相位差值。其计算公式如下:

???=???=

3603600

0f f n T T n θ (3-11)

式中,n 为在t ?时间内计数脉冲的个数;f 为被测信号频率;0f 为时标信号频率[11]。

如若在1s 内让计数器连续计数,即在1s 内有f 个门控信号,则其一共计数为

n f n ?=1,所以01360f n f n ??

=?=θ,则10360n f ??=θ。通过上式我们可以看出,当时标频率0f 取360KHz 时,代入可得:1360

360n ??=θ=1n 。 由此可见,在时标频率0f 取360KHz 前提下,计数器在1s 内脉冲的累计数就是以度为单位的两个被测信号的相位差,这为本次设计带来了简便。

3.3.2 计数显示电路设计

本次显示电路采用的是数字检测和数码管显示被检测信号相位差,如图3-13所示,在本设计中我们首先使用74L S192 作为计数器来计数,捕获单元实现信号的数据采集,然后使用74HC 373作为锁存器将相位差数值锁定,最后通过数据后期处理后由3个共阴极数码管显示出来[11]。

图3-13 计数显示电路图

3.3.3数码管工作原理

为了能以十进制数码管直观地显示数字系统的运行数据,目前最广泛使用了七段数码管,这种数码管是由七段可发光的线段拼合而成的。常见的七段数码管有半导体数码管和液晶显示器等。

如下图3-14所示为半导体数码管的外形图,这种数码管的每个线段是一个发光的二极管。从各发光电极连接方式分有共阳极和共阴极两种,如图3-15所示。

图3-14 数码管外形图

图3-15 数码管共阴极和共阳极

数码管显示字型码如表3-1所示,本次设计采用的是共阴极数码管。

表3-1 数码管显示字型码

显示字符

共阴极字符 共阳极字符 0

3FH C 0H 1

06H F9H 2

5BH A4H 3

4FH B0H 4

66H 99H 5

6DH 92H 6

7DH 82H 7

07H F8H 8

7F H 80H 9 6FH 90H

4 仿真与调试

本设计使用软件Multis im 进行仿真,画出电路图,通过调节变阻器5R 、8R 来改变移相电路的相位。如图4-1所示,所得到的源信号和移相信号的波形图。 源信号的频率和移相信号的频率都为1KHz,相位较源信号滞后,此时滑动变阻器5R 、8R 都取7.5 K Ω 。

图4-2为两个D 触发器将两个输入信号转化为的方波信号,我们可以通过图大概可以看出091.28412=-T T s μ,与图4-1数据一致。经过相位差公式3-10

检测正弦信号相位差算法的研究(精)

检测正弦信号相位差算法的研究 程捷 (中国计量学院信息工程系, 杭州310034 摘要本文基于最小二乘原理和FFT 的选频特性, 讨论了二种测量正弦信号相位差的方法。该算法适用于短信号序列的相位测量。实验结果表明这二种算法具有数据处理量少, 准确度高的特点。关键词相位检测FFT 最小二乘法 一、引言 有直读法, 本文基于最小二乘原理和快速傅里叶变换(FFT 的选频特性, 提出了用最小二乘法和FFT 检测正弦信号相位差的算法。影响算法的主要因素是采样点数。利用最小二乘法数据处理量少, 准确度高, 而利用FFT 来检测相位差, 算法过程简捷。 二、算法的理论分析 11最小二乘相位测量的算法 假设有两正弦信号v 1(t 、v 2(t 被采样频率f s 采样, 得到一组M 个采样点。待处理的信号如下式所示: v 1(t =V 1sin (Ξt +Υ1 v 2(t =V 2sin (Ξt +Υ2 (1 展开上式可得 v 1(t =C 0sin Ξt +C 1co s Ξt v 2(t =D 0sin Ξt +D 1co s Ξt (2 其中: C 0=V 1co s Υ1, C 1=V 1sin Υ1 D 0=V 2co s Υ2, D 1=V 2sin Υ2故有 V

1C 2 +C 21 , Υ1=arc tg C 0 +〔1-sgn (C 0 2 V 2 D 20+D 2 1, 2tg D 0 2 (3 , C j 、D j 参数(j =0, 1 。为此, 需要应用最小二乘法。根据C j 、D j 参 数总的测量残差平方和最小, 用求偏导数的方法得到C j 、D j 参数的最小二乘估计。 假设信号频率为f =50H z , 采样频率为f s , 选取一定量的采样数据(取决于周期数K 的值 , 则M =I N T (Kf s f =I N T (KN , 这里, I N T 表示取整。采样间隔为?=1 f s , 对连续的 正弦信号按一定的时间间隔?进行采样, 得到 v i (n ? (i =1, 2, ; n =1, 2, …M 。对v 1(t 计算出各采样点值v 1(t 0 , v 1(t 1 , …, v 1(t M -1 , 可得到 v 1(t 的测量残差为: v i =C 0sin Ξt i +C 1co s Ξt i -v 1(t i i =0, 1, …, M -1 (4

单片机数字相位差计的设计

XXXXXX项目式教学 设计报告 课程名称:电路综合设计 项目名称:单片机数字相位差计的设计专业班级: 学生姓名: 指导教师: 开课时间: 报告成绩:

数字相位差计的设计与实现 摘要 随着数字电子技术的发展,由数字逻辑电路组成的控制系统逐渐成为现代检测技术中的主流,数字测量系统也在工业中越来越受到人们的重视。 在实际工作中,常常需要测量两列频率相同的信号之间的相位差,来解决实践中出现的种种问题。例如,电力系统中电网合闸时,要求两电网的电信号之间的相位相同,这时需要精确测量两列工频信号之间的相位差。如果两列信号之间的相位差达不到相同,会出现很大的电网冲激电流,对供电系统产生巨大的破坏力,所以必须精确地测量出两列信号之间的相位差。本设计由STC89C51构成的最小系统,通过外围扩展,精确测量工频电压的相位差,采用LCD1602显示相位差,功耗小,精确度高,稳定性能好,读数方便且不需要经常调试。 关键词:单片机、低频、相位差、LCD

一、绪论 1.1课题的意义 众所周知,相位是交变信号的三要素之一,而相位差则是研究两个相同频率交流信号之间关系的重要参数。相位差的测量是电气测量的一项基本内容,其含义为测量两个同频率周期信号的相位差值。 例如某一电路系统输入信号与输出信号之间的相位差,三相交流电两个相电压或两个线电压之间的相位差,相电压与相电流之间的相位差等。 又如,在自动控制理论中,系统的相频特性为在不同频率正弦信号作用下,系统的输出信号与输入信号之间的相位和频率的函数关系。 此外,同频率正弦信号的相位差测量在工业自动化、智能控制及通讯电子等许多领域都有着广泛的应用。如电工领域中的电机功角测试,等等。 因此相位差的测量是研究网络相频特性中不可缺少的重要方面。 1.2课题要求 本设计研究了一种可测20Hz-20kHz 内波形(正弦波、三角波、矩形波)数字相位差测量仪的设计方法。主要内容是以STC89C51为控制核心,实现对音频范围内的正弦交流信号的相位的测量,可测的信号相位差在0~360? 度范围内,测量精度可达0.1? 。两路信号(同频、不同相)通过过零比较器电路整形成矩形波信号,再通过鉴相器,D 触发器二分频得到相位差信号。这样就构成了相位测量系统的测量电路。再将该相位差信号送入单片机的外部中断端口,通过单片机对数据的处理,最后方可得到所要测量的相位差,并在液晶上显示出测量结果。 二、相位测量方案论证与选择 2.1设计方案论证 方案1:相位——电压转换法 相位--电压转换式数字相位计的原理框图如图2-1

相位差检测电路

课程设计报告 课程电子测量与虚拟仪器 题目相位差检测电路 系别物理与电子工程学院 年级08级专业电子科学与技术 班级08电科(3)班学号0502083(02 14 23 24)学生姓名崔雪飞陈祥刘刚李从辉 指导教师徐健职称讲师 设计时间2011-4-25~2011-4-29

目录 第一章绪论 (2) 第二章题目及设计要求 (3) 2.1题目要求 (3) 2.2设计要求 (3) 第三章方案设计与论证 (4) 3.1移相电路设计 (4) 3.2检测电路设计 (4) 3.3显示电路设计 (5) 第四章结构框图等设计步骤 (6) 4.1设计流程图 (6) 4.2模块分析 (7) 4.2.1 移相电路 (7) 4.2.2 检测电路 (7) 4.2.3 显示电路 (8) 4.3结果显示 (9) 4.4总电路图 (11) 第五章误差分析 (12) 第六章总结体会 (13) 第七章参考文献 (14) 附录 (15)

第一章绪论 随着电子技术和计算机技术的发展,电子设计自动化(E-DA) 技术使得电子电路设计人员在计算机上能完成各种电路的设计,性能分析和有关参数的测试等大量的工作。Multi-sim2001是加拿大InteractiveImageTechnologies公司2001年推出的Multisim最新版本,是一个专门用于仿真与设计的工具软件,它丰富的元件库中提供数千种电路元件,随时可以调用;它提供了多种测试仪器仪表,可方便的对电路参数进行测试和分析。移相器在新一代移动通信、电子战、有源相控阵和智能天线等系统中获得广泛的应用。移相器在电子系统中的主要作用是调整系统接收 /发射时电路中的信号相位。本文将介绍用Multisim软件的部分集成电路和控制部件等各种元件来完成移相电路的设计和仿真。 使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。在此课程设计中主要用到的是相位差转换成计数脉冲数进行测量。

流量计相位差检测方法

科氏质量流量计相位差检测新方法 郑德智 樊尚春 邢维巍 (北京航空航天大学仪器科学与光电工程学院 北京 100083) 摘要 基于科氏质量流量计的工作机理和实际工作情况下的信号频谱分析,提出了切实可行的相位差检测新方法。设计了改进的FI R数字滤波器,实现了对原始输出信号的实时滤波处理,有效地抑制了噪声的干扰,为科氏质量流量计的高精度测量提供了保证。同时该新方法提高了系统的动态品质。实验结果表明,所提出的方法和设计的信号处理系统具有实用价值。 关键词 科氏质量流量计 FI R滤波器 相位差检测 中图分类号 T H814+.6 文献标识码 A 学科分类与代码 460.4030 The Novel Method of Phase Difference Detection in Coriolis Mass Flowmeter Zheng Dezhi Fan Sha ngchun Xing Weiw ei (School of Instrumentation,Beihang University,Beijing100083,China) Abstract Based on the sensing mechanism of Co riolis mass flow meter and analyzing signal spect rum in actual w orki ng,the nov el applied method is devised fo r phase diff erence det ectio n.The improv ed FIR filt er i s designed and used for fil tering o rigi nal sig nals,so the noi se is rest rained ef fectiv ely and the measurement precision of the mass flowmeter is guaranteed.M eanwhile,the dynamic response perfo rmance of the syst em is improved by this novel method.The experimental resul ts showed that the method is well worthy applying. Key words Co riolis mass flow meter FIR filter Phase dif ference det ection 1 引 言 科里奥利质量流量计(以下简称为科氏质量流量计,即CM F)是一种利用被测流体在振动测量管内产生与质量流量成正比的科氏力为原理所制成的一种直接式质量流量仪表。CM F直接敏感被测流体的质量流量,同时可以检测流体的密度、体积流量,是一种应用广泛的新型多功能流量测量仪表。 图1中双U型管工作在谐振状态,流体在管中沿箭头方向流动。由于哥氏效应(Coriolis Effect)的作用,U型管产生关于中心对称轴的一阶扭转“副振动”。该一阶扭转“副振动”相当于U型管自身的二阶弯曲振动。同时,该“副振动”直接与所流过的“质量流量(kg/s)”成比例。因此,通过检测U型管的“合成振动”在B,B’两点的相位差就可以得到流体的质量流量[1~2] 。 图1 U型管质量流量计工作机理 质量流量和相位差的关系为: Q m k=K h B B(1)式中:Q m 为流过管子的质量流量(kg/s); 第26卷第5期 仪 器 仪 表 学 报 2005年5月本文于2003年9月收到,系国家自然科学基金(60274039)资助项目。

单片机相位差测试仪研究报告

_____________________ 个人资料整翌_仅限学习使用_ 基于单片机的相位差测试仪的研究 摘要 提出了一种基于8051单片机开发的低频数字相位差测量仪的设计。系统以单片机8051 及计数器,显示管为核心,构成完备的测量系统。可以对1Hz?1000Hz频率范围的信号进行 频率、相位等参数的精确测量,测相绝对误差不大于1°采用数码管显示被测信号的频率、相位差。硬 件结构简单,程序简单可读写性强,软件采用汇编语言实现,效率高。与传统的电路系统相比,其有处理速度快、稳定性高、性价比高的优点。 关键词:相位差;单片机;计数器;数码显示管 Designsof Low frequency Digital PhaseMeasurement Based on Single Chip Abstract A new ki nd of low - freque ncy digital phase measureme nt in strume nt is reside nted which is based on 8051.This is a complete system whose core is based on sin gle chip 8051 and arithmometer

and charactr on .It may measure the freque ncy and phase of the sig nal which beg in from 1 Hz to _____________________ 个人资料整翌_仅限学习使用_ 1000Hz, absolute error is not more than 1 The data are displayed on numeral displayer. Hardware structure is simple and software is realized by compiling Ianguage. Compared with traditional circuit, it has many adva ntages of faster process ing speed, good stability and high ratio betwee n property and price. Keyword: phase difference single-chip compute; . Arithmometer;charactron tube 目录

相位差检测

目录 一、题目要求 ........................................................ 错误!未定义书签。 二、方案设计与论证 ............................................ 错误!未定义书签。 移相电路 ......................... 错误!未定义书签。 检测电路 ......................... 错误!未定义书签。 显示电路 ......................... 错误!未定义书签。 三、结构框图等设计步骤................. 错误!未定义书签。 设计流程图........................ 错误!未定义书签。 电路图 ........................... 错误!未定义书签。 移相电路图................... 错误!未定义书签。 检测电路图................... 错误!未定义书签。 显示电路图................... 错误!未定义书签。 四、仿真结果及相关分析................. 错误!未定义书签。 移相效果 ......................... 错误!未定义书签。 相位差波形........................ 错误!未定义书签。 相位差度数........................ 错误!未定义书签。 五、误差分析........................... 错误!未定义书签。 误差分析 ......................... 错误!未定义书签。 六、总结与体会......................... 错误!未定义书签。 七、参考文献........................... 错误!未定义书签。 八、附录............................... 错误!未定义书签。 元器件清单........................ 错误!未定义书签。

如何使用相关技术测量相位差

如何使用相关技术测量相位差 测量两个周期信号之间的相位差通常需要采用诸如气象、计算和通信 等方面的科学技术。示波器提供了执行这种测量的快速简单方法。遗憾的是, 示波器的噪声、带宽和时间分辨率会限制其测量的精度。 示波器的采样率决定了其时间分辨率的大小。例如对于一个100MHz 的信号来说,相位上的1 度相当于时间上的27ps。很明显,对于1 度的相位测量精度,示波器的采样时间必须小于这个数值,因此采样率要求高于36GHz,这个数字已经超出了大多数示波器的指标范围。为了演示这种测量方法,我们选 用了Analog Arts 的SA985 USB 示波器,这种示波器具有100GHz 的采样率和1GHz 的带宽。你可以选用满足你应用时间要求的任何示波器开展这种测量。 就是有了合适的示波器,你也必须使用专门的技术才能获得精确的相位测量结果。 示波器的时间标线(人们经常用利萨茹曲线(对信号执行数学运算可以 增强相位测量性能。参考文献1、2 和3 中描写的技术就是这种运算操作的一 些例子。虽然每种方法可能适合某些应用,但测量结果还受到本文讨论范围之 外的其它多种因素影响。此外,这些技术大部分是针对正弦信号的。在诸如测 量FPGA 内部锁相环(PLL)产生的各种时钟相位性能等应用中,这些技术精度明显不高。 一种简单且精确的方法是对信号进行相关运算。相关运算是一种直接的 数学操作。有许多论文(参考文献4)对相关操作及其应用作过全面彻底的解释。由Aanlog Arts 公司开发的一种C#算法就是这种技术的一种实现。相关运算的一个关键优势在于能够发现大多数其它类型信号之间的相位差。这种技术 可以达到的精度主要受限于信号周期的相对精度和示波器的采样率。对于采样

基于集成运放的相位差检测电路设计

课程设计名称:电子技术课程设计 题目:基于集成运放的相位差检测 电路设计 学期:2016-2017学年第2学期 专业: 班级: 姓名: 学号: 指导教师: 辽宁工程技术大学

课程设计成绩评定表

摘要 本课程设计主要要求是设计一个基于集成运放的相位差检测电路。整流滤波电路是提供直流电源的。首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。他们先异或,接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。

目录 1、综述 2、原理及技术指标 3、单元电路设计及参数计算 3.1整流滤波电路 3.2 RC移相电路 3.3 555定时器电路 3.4计数器显示部分 3.5 参数计算 4、仿真 5、设计比较 6、结论 7、设计体会 参考文献

1 综述 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=Umsin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为 u 1=U m1sin(ω1t +φ1) u 2=U m2sin(ω2t +φ2) 它们的瞬时相位差为 Θ=(ω1t +φ1)- (ω2t +φ2) =(ω1-ω2)t+(φ1-φ2) 显然,两个角频率不相等的正弦电压(或者电流)之间的瞬时相位差是时间t的函数,它随时间改变而改变。当两正弦电压的角频率ω1=ω2=ω时,有 Θ=φ1-φ2 由此可见,两个频率相同的正弦量间的相位差是常数,等于两正弦量的初相位之差。在实际的工作之中,经常需要研究诸如放大器、滤波器等各种器件的频率特性,即输出、输入信号间的幅度比随频率的变化(幅频特性)和输出、输入信号间的相位差随频率的变化关系(相频特性)。尤其在图像信号传输与处理、多元信号的相干特性显得更为重要。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。

单片机测量频率,占空比,相位差

单片机测量频率,占空比,相位差 1、 频率及占空比的测量 如上图所示,当脉冲的上升沿来临时,将定时器打开;紧接着的下降沿来临时,读取定时器的值,假设定时时间为t1;下一个上升沿来临时关闭定时器,读取定时器的值,假设定时时间为t2。t1即为1个周期内高电平的时间,t2即为脉冲的周期。t1/t2即为占空比,1/t2即为频率。 C 语言程序如下: TH0=0; //定时器高位,初值设为0 TL0=0; //定时器低位,初值设为0 T0_num=0; //定时器溢出次数,初值设为0 while(pulse); //pulse 为脉冲的输入引脚 while(!pulse); //等待上升沿来临 TR0=1; //打开定时器 while(pusl1); //等待下降沿来临 th1=TH0;tl1=TL0;num1=T0_num; //保存定时器值 while(!pusl1); //等待上升沿来临 TR0=0; //关闭定时器 th2=TH0;tl2=TL0;num2=T0_num; //保存定时器值 2、 相位差的测量 上升沿打开定时器 下降沿读取定时器值并保存 下一个上升沿关 闭定时器,读取 定时器值并保存

测量相位差的电路如上所示,待测量的两路脉冲分别作为两个D触发器的时钟输入,两个D触发器的输入端D及S端都接高电平,第一个D触发器的输出接第二个D触发器的R端,第二个D触发器的互补输出端接第一个D触发器的R端。从下面的波形图可以看出,第一个D触发器输出的脉冲信号的占空比乘以2π即为相位差。这样就将测量两路方波信号的相位差转化为测量一路方波 信号的占空比,就可以按照前面介绍的测量占空比的方法来测量了。

一种软件测量相位差方法研究

一种软件测量相位差方法研究 作者:杨明1姜万东1宋国云2 (1.珠海万力达电气股份有限公司,广东珠海 519085; 2.酒泉超高压输变电公司,甘肃酒泉 735000) 摘要:传统测相位的办法是通过定时采样信息,经过快速傅立叶变换进行分析,这种做法要求采样点是整个周期的信息,还要进行复杂的作商、求反正切计算,运算量大,对系统时间造成一些浪费。作者根据传统测量方法进行拓展,提出了一种新颖的相位差测量方法,计算量小,用时少,精度高,特别适用于单片机环境下的软件测相位使用。 关键词:相位差;快速傅立叶变换(FFT);单片机;软件测相位 相位差测量是工频交流电气测量技术的一个很重要的部分,电力系统中研究相位差是实现系统并列、准同期、无扰动合闸等工艺的重要前提条件,对系统稳定运行具有重要的意义。 传统的软件测相位的办法是通过定时采样一个周波的信息,利用快速傅立叶变换(FFT),将两个电气测量量的实部、虚部求出,然后对虚部差、实部差之商经过一次反正切计算,得出相位差。该方法运算量大,对系统资源浪费严重,对一些时间性要求比较苛刻的场合应用有局限性。为解决这一矛盾,本文利用考核过零点的时间差,求的相位差,研究数字滤波器,对提高测量精度有重要的意义。 1 信号采样 电气测量一般为50Hz的正弦波,为了满足测量精度、获得充裕的系统应用时间,本方 15电角度。通过单片机的定时中断,法使用的是每周24点的采样密度,既每个采样间隔是 读取中断时刻AD中各路模拟量的数值,分别储存至相应的寄存器数组中,如通道A、B的寄存器分别为AD_BUF_A[order]、AD_BUF_B[order],其中order表示采样点次序,通道A、B采样点次序严格一致。 相位测量对所测的电气量的谐波要求比较严格,所以采样电路的前级的滤波措施要得当,专门的带通滤波器电路,可以很好地解决谐波问题,但是由于滤波回路会产生一些相角偏移,所以滤波器件的选型要严格。为了使测量误差尽可能的降低,为此,软件的滤波措施也要考虑。 2采样数据处理 以通道A为基本相位,研究通道A与通道B过零点的时间差,进而求解两者之间的相位

相位差检测

课程设计报告 课程电子测量与虚拟仪器课程设计 题目相位差检测电路 系别物理与电子工程学院 年级2008 专业电子科学与技术班级 2 学号 学生姓名 指导教师职称讲师 设计时间2011-3-28~2011-4-1

第一章绪论 (2) 1.1 相位差检测电路的介绍 (2) 1.2 相位差测量的简单介绍 (2) 第二章相位差检测电路 (3) 2.1 移相电路的设计 (3) 2.2 利用MULTISIM设计检测移相电路 (5) 2.2.1 仿真电路虚拟仪器参数调整 (6) 2.2.2移相电路的仿真与分析 (7) 2.3将相位差信号转换成直流电压信号检测 (9) 2.3.1将相位差信号转换成直流电压信号检测的原理 (9) 2.3.2 电路图及具体原理分析 (9) 2.3.3 仿真过程 (10) 2.3.4 系统测量的误差分析 (12) 主要参考文献 (13) 附录 (13)

第一章绪论 1.1 相位差检测电路的介绍 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求:设计移相电路;设计检测电路,可以使用MCU或者Labview;使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号进行检测;要求分析系统最后的精度。 在此次的电子测量与虚拟仪器课程设计中,我们设计的相位差检测电路主要有两个模块,由这两个模块来实现对相位差的检测并用相应的器件来实现。第一个模块为移相电路,移相电路主要由两个放大器组成。一个放大器可以实现对输入信号进行0~900的移相,那么两个放大器可以实现对输入信号进行0~1800的移相。移相电路的结构比较简单,只要对放大器相应知识进行了解便能很快的设计出移相电路。在移相电路中还应用到了变位器和电容。通过调节变位器可以逐步实现每个度数的相位差;电容的作用则是实现对输入信号的滤波和使放大器工作在稳定的区域。第二个模块则是实现相位差的显示。此部分的模块主要由二极管、异或门以及放大器组成。二极管的作用是使信号工作在正负管压降之间,使电路快速的运行和工作。异或门有三个,异或门的作用主要是实现将信号与基准信号进行比较,将相位差转换成电压差的方法,然后通过电压表将电压显示,最后将电压放大一百倍即使所求的相位差。 1.2 相位差测量的简单介绍 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=U m sin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为

数字相位差测量仪的设计

目录 1.设计任务书。 2.设计方案概述。 3.V/f变换测量相位差角的工作原理。 4.电路的组成及参数选择。 4.1整形电路及信号C的形成。 4.2滤波电路的参任务计划书。 4.3V/f变换电路的设计。 4.4 89C52内部资源的利用。 5.应用实例。 6.结论。 7.总结。 一、设计任务书 (一)任务 设计仿真一数字相位计 (二)主要技术指标与要求: (1)输入信号频率为0HZ~250HZ可调 (2)输入信号的幅度为0.5V (3)采用数码管显示结果,相位精确到0.1° (4)采用外部5V直流电源供电 (三)对课程设计的成果的要求(包括图表) 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书。要求图纸布局合理,符合工程要求,所有的器件的选择要有计算依据。 二、设计方案概述 根椐设计任务书的要求,我们参考了一些相关资料书,经过小组的讨论分析,提出了一种用v/f变换测量交流电的相位差的新方法:首先产生出其幅度正比与相位差大小的直流电,再有v/f变换器转换成反映相位差大小的频率信号,在单片机的配合下,最终得到相位差。这种方法具有分辨率高,适应与大范围的各种输入频率等优点。 正弦交流电电信号相位差的测量可以用多种方法实现。比较直接的数字式测量方法是在已知信号周期的前提下用定时的方法测得相位差角对应的时间,然后根据已知的周期将其换算成相位差角度。但

是,这种方法的测量精度依赖于定时器的精度和分辨率。在信号频率较高或频率虽不高但相位差较小时,都可以出现较大的误差。另外,由于直接测量得到的是时间,相位差角要由这一中间结果与信号的周期运算后才能得到,所以周期的测量不可缺少,其测量的精度也将影响相位差的精度。 在此用一种新的思路进行相位差的测量,用v/f变换器把相位差转换成一个其频率与之成正比的脉冲列,通过计算在一定时间内的脉冲个数测量相位差角。这种测量方法与信号的周期无关,可以得到较高的精度。题达到了0.1的测量精度,与此同时工业运行控制中现场操作,修改和设置等问题也得到了很好的解决,以上这些都在工业运行中得到了厂方的认可。存在的问题主要是本仪器通用性很不强,很难在更大的范围应用和推广,只能运用与某些特定的企业。今后的工作主要硬件和软件的改进上,列入增加一些通用行很强的功能模块。 3.V/f变换测量相位差角的工作原理 首先将输入的两个同频率但存在着相位差的信号进行整形,使之变成方波。如图1示A和B 再对A,B进行异或处理, 异或输出信号C 的脉冲宽度则反映相位差角.C 的脉宽T1对应的电角度是相位差角,C 的周期T2 是信号周期T 的1/2.如果信号角频率为w 则T1= /w. C为幅值为U 的方波其平均值Ud=UT1/T2=U 由此可见,C 的平均值( 亦即直流分量)仅与相位差角和脉冲幅 度有关与信号周期无关

测量相位差的主要方法

一二测量相位差的方法主要有哪些? 测量相位差可以用示波器测量,也可以把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差,可以把相位差转换为电压,先测量出电压,再换算为相位差,还可以与标准移相器进行比较的比较法(零示法)等方法。 怎么用示波器来测量相位差? 应用示波器测量两个同频正弦电压之间的相位差的方法很多,本节介绍具有实用意义的直接比较法。将u1、u2分别接到双踪示波器的Y1通道和Y2通道,适当调节扫描旋钮和Y增益旋钮,使荧光屏显示出如图2.42所示的上、下对称的波形。 比较法测量相位差 设u1过零点分别为A、C点,对应的时间为t A、t C;u2过零点分别为B、D点,对应的时间为t B、t D。正弦信号变化一周是360°,u1过零点A比u2过零点B提前t B-t A出现,所以u1超前u2的相位。 u1超前u2的相位,即u1与u2的相位差为 (2.56) T为两同频正弦波的周期; ΔT为两正弦波过零点的时间差。 数字式相位计的结构与工作原理是什么?

三数字相位计框图 将待测信号u1(t)和u2(t)经脉冲形成电路变换为尖脉冲信号,去控制双稳态触发电路产生宽度等于ΔT的闸门信号以控制时间闸门的启、闭。晶振产生的频率为fc的正弦信号,经脉冲形成电路变换成频率为fc的窄脉冲。 在时间闸门开启时通过闸门加到计数器, 得计数值n,再经译码,显示出被测两信号的相位差。这种相位计可以测量两个信号的“瞬时”相位差,测量迅速,读数直观、清晰。 数字式相位计称做“瞬时”相位计,它可以测量两个同频正弦信号的瞬时相位,即它可以测出两同频正弦信号每一周期的相位差。 基于相位差转换为电压方法的模拟电表指示的相位计的测量原理是什么? 如图2.44所示,利用非线性器件把被测信号的相位差转换为电压或电流的增量,在电压表或电流表表盘上刻上相位刻度,由电表指示可直读被测信号的相位差。转换电路常称做检相器或鉴相器。常用的鉴相器有差接式相位检波电路和平衡式相位检波电路两种。 数字相位计框 图

电子测量与仪器 第六章 时域测量

第六章时域测量(示波器) 6.1 通用示波器由哪些主要电路单元组成?它们各起什么作用?它们之间有什么联系?6.2 通用示波器垂直偏转通道包括哪些主要电路?它们的主要作用是什么?它们的主要工作特性是什么? 6.3 简述通用示波器扫描发生器环的各个组成部分及其作用? 6.4 在示波器的水平和垂直偏转板上都加正弦信号所显示的图形叫李沙育图形。如果都加上同频、同相、等幅的正弦信号,请逐点画出屏幕上应显示图形;如果两个相位差为90°的正弦波,用同样方法画出显示的图形。 6.5 现用示波器观测一正弦信号。假设扫描周期(T x)为信号周期的两倍、扫描电压的幅度V x=V m时为屏幕X方向满偏转值。当扫描电压的波形如图6.42的a、b、c、d所示时,试画出屏幕上相应的显示图形。 解: a b c d

Vx 6.6 试比较触发扫描和连续扫描的特点。 6.7 一示波器的荧光屏的水平长度为10cm ,现要求在上面最多显示10MHz 正弦信号两个周期(幅度适当),问该示波器的扫描速度应该为多少? 解:正弦信号频率为10MHz ,T =s f T 76101101011-?=?== ,要在屏幕上显示两个周期,则显示的时间为s 71022T t -?==,扫描速度为 s cm /10501021067?=?- 6.8 示波器观测周期为 8ms ,宽度为 1ms ,上升时间为 0.5ms 的矩形正脉冲。试问用示波器分别测量该脉冲的周期、脉宽和上升时间,时基开关( t/cm )应在什么位置(示波器时间因数为 0.05μs ~0.5s ,按 1-2-5 顺序控制)。 解: 在示波器屏幕上尽量显示一个完整周期,而水平方向为10cm ,所以 测量周期时,8ms/10cm =0.8ms/cm ,时基开关应在1ms 位置, 测量脉宽时,1ms/10cm =0.1ms/sm ,时基开关应在100μs 位置, 测量上升时间时,0.5ms/10cm =50μs/cm 时基开关应在50μs 位置 6.9 什么是非实时取样?取样示波器由哪些部分组成?各组成部分有何作用?说明取样示波器观察重复周期信号的过程。 解:由r t BW /35.0=,可知MHz BW 7)1050/(35.09 =?=-,选择示波器时,信号上升时间应大于3~5 t R (示波器上升时间),或者带宽大于3~5f M ,这样只有(2)和(4)满足,而(4)的上升时间最小,观察效果最好,但价格贵。 6.10欲观察上升时间t R 为50ns 的脉冲波形,现有下列四种技术指标的示波器,试问选择哪一种示波器最好?为什么?

相位差检测

目录 一、题目要求 (2) 二、方案设计与论证 (2) 2.1移相电路 (2) 2.2检测电路 (2) 2.3显示电路 (3) 三、结构框图等设计步骤 (4) 3.1设计流程图 (4) 3.2电路图 (5) 3.2.1移相电路图 (6) 3.2.2检测电路图 (6) 3.2.3显示电路图 (7) 四、仿真结果及相关分析 (8) 4.1移相效果 (8) 4.2相位差波形 (8) 4.3相位差度数 (8) 五、误差分析 (9) 5.1误差分析 (9) 六、总结与体会 (9) 七、参考文献 (10) 八、附录 (10) 8.1元器件清单 (10)

一、题目要求 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求: 1)设计移相电路; 2)设计检测电路,可以使用MCU或者Labview; 3)使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号 进行检测; 4)要求分析系统最后的精度。 二、方案设计与论证 2.1移相电路 此次相位差检测电路的移相部分主要由RC移相电路构成,而RC移相电路主要利用了电容器的电流超前电压90度这一特性。 RC滞后移相电路是电阻器在前面,电容器在后面。输入信号从电阻器进入,输出信号是从电容器上输出。因为电容器要充电,所以电压要比电流滞后90度,等电容充满电后才有电压。输出电路是与电容器并联电压相等,所以输出电路的电压也滞后电流。RC超前移相电路是电容器在前面,电阻器在后面,电容器一样充电电压会滞后电流90度。 由于输入信号经过RC电路后,其幅值有一定的衰减,为了达到移相但不改变其幅值,我们在移相电路后追加了相应的放大器,以保证信号波形不变。 2.2检测电路 相位差的测量可以采用多种方法:一、将两个信号用模拟乘法器做乘法运算,得到的信号通过低通滤波器,将直流量分离出来,直流电压的大小反映了两个信号的相位差。二、采用两个比较器对信号进行过零比较,然后测量出两个上升沿之间的时间间隔,用时间间隔除以周期再乘以360就可以得到相位差。一般高

单片机测量方波的频率、占空比及相位差的方法

单片机测量方波的频率、占空比及相位差的方法 1、 频率及占空比的测量 如上图所示,当脉冲的上升沿来临时,将定时器打开;紧接着的下降沿来临时,读取定时器的值,假设定时时间为t1;下一个上升沿来临时关闭定时器,读取定时器的值,假设定时时间为t2。t1即为1个周期内高电平的时间,t2即为脉冲的周期。t1/t2即为占空比,1/t2即为频率。 C 语言程序如下: TH0=0; //定时器高位,初值设为0 TL0=0; //定时器低位,初值设为0 T0_num=0; //定时器溢出次数,初值设为0 while(pulse); //pulse 为脉冲的输入引脚 while(!pulse); //等待上升沿来临 TR0=1; //打开定时器 while(pusl1); //等待下降沿来临 th1=TH0;tl1=TL0;num1=T0_num; //保存定时器值 while(!pusl1); //等待上升沿来临 TR0=0; //关闭定时器 th2=TH0;tl2=TL0;num2=T0_num; //保存定时器值 上升沿打开定时器 下降沿读取定时器值并保存 下一个上升沿关 闭定时器,读取 定时器值并保存

2、相位差的测量 测量相位差的电路如上所示,待测量的两路脉冲分别作为两个D触发器的时钟输入,两个D触发器的输入端D及S端都接高电平,第一个D触发器的输出接第二个D触发器的R端,第二个D触发器的互补输出端接第一个D触发器的R端。从下面的波形图可以看出,第一个D触发器输出的脉冲信号的占空比乘以2π即为相位差。这样就将测量两路方波信号的相位差转化为测量一路方波信号的占空比,就可以按照前面介绍的测量占空比的方法来测量了。

相位差测量电路设计

本科毕业设计 ( 2015届) 题目:相位差测量电路的设计 学院:机电工程学院 专业:自动化 学生姓名:学号: 指导教师:职称(学位):讲师 合作导师:职称(学位):完成时间:2015 年 5 月 28日 成绩: 黄山学院教务处制

原创性声明 兹呈交的设计作品,是本人在指导老师指导下独立完成的成果。本人在设计中参考的其他个人或集体的成果,均在设计作品文字说明中以明确方式标明。本人依法享有和承担由此设计作品而产生的权利和责任。 声明人(签名): 年月日

目录 摘要.................................................................................................错误!未定义书签。英文摘要.?错误!未定义书签。 1 绪论?1 1.1研究背景及意义?错误!未定义书签。 1.2 发展现状和发展趋势?错误!未定义书签。 1.2.1国外发展状况........................................................错误!未定义书签。 1.2.2 国内发展状况?错误!未定义书签。 1.2.3 发展趋势........................................................................错误!未定义书签。 2 相位差测量的基本原理.............................................................错误!未定义书签。 2.1 相位的基本概念.............................................................错误!未定义书签。 2.2相位差测量原理?错误!未定义书签。 2.3 电路设计原理...................................................................错误!未定义书签。3设计与分析.. (6) 3.1 移相电路...........................................................................错误!未定义书签。 3.1.1 方案分析?错误!未定义书签。 3.1.2 移相电路设计................................................................错误!未定义书签。 3.2 检测电路?错误!未定义书签。 3.2.1 方案分析?错误!未定义书签。 3.2.2 检测电路设计?错误!未定义书签。 3.2.3 LM339特性分析?错误!未定义书签。 3.2.4 双稳态触发器?错误!未定义书签。 3.3 计数显示电路................................................................错误!未定义书签。 3.3.1 方案分析?错误!未定义书签。 3.3.2 计数显示电路设计......................................................错误!未定义书签。 3.3.3 数码管工作原理?错误!未定义书签。 4 仿真与调试?错误!未定义书签。 5实验分析...................................................................................错误!未定义书签。总结?错误!未定义书签。 参考文献?错误!未定义书签。 致谢?错误!未定义书签。 附录?错误!未定义书签。

单片机测量占空比、方波的频率及其相位差方法论

单片机测量方波的频率、占空比及相位差的方法 1.2 脉冲频率测量 频率测量实际上就是在1s内对脉冲个数进行计数,计数值就是信号频率。令定时器T0工作在方式1,得到100ms的定时间隔,再进行软件计数10次,形成一个1s的测量闸门信号。在测量闸门信号期间令计数器T1工作在计数方式1,对脉冲信号的频率计数,计数值存入COUNT、COUNT+1和COUNT+2单元,计数值通过6位动态数码管显示出来。 1.3 扩展测量范围原理 上述系统被测脉冲宽度范围最大为65535us,扩展计数器的位数可提高脉冲宽度的测量范围。令定时器T1工作在方式1定时,GATE=1,用COUNT单元,COUNT+1单元即定时器T1的计数单元TH1和TL1组成一个32位的计数器对脉冲宽度进行测量。并且在定时器T1溢出时,给COUNT+2赋值#01H,并将THI和TH0置零,重新开始计数。以扩展系统测量范围使可以达到130ms的任务要求。同时在进行频率测量时,当计数器T1溢出时,给COUNT+2赋值#01H,并将THI和TH0置零,重新开始计数。以扩展系统测量范围使可以达到100KHZ的任务要求。 第2章测量系统的硬件设计 由于是在实验箱测试本系统,且实验箱上的芯片已经连接固定好了,不能调整,所以以LAP 2000模拟系统的逻辑波形作为输入信号。因此硬件只需选用8051芯片以及六位LED数码管。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。显示缓冲区DISBUF是片内RAM的一个区域,占用片内RAM的70H至75H单元,它的作用是存放要显示的字符,其长度与LED的位数相同。显示程序的任务是把显示缓冲区中待显示的字符送往LED显示器显示。 1、频率及占空比的测量

相关文档
最新文档