北京工业大学电子实验报告压控阶梯波发生器(数字类)

北京工业大学电子实验报告压控阶梯波发生器(数字类)
北京工业大学电子实验报告压控阶梯波发生器(数字类)

北京工业大学

课程设计报告

学院电子信息与控制工程

专业

班级组号

题目1、压控阶梯波发生器

2、基于运放的信号发生器设计姓名

学号

指导老师

成绩

年月日

压控阶梯波发生器(数字类)(一)设计任务

在规定时间内设计并调试一个由电压控制的阶梯波发生器。

(二)设计要求

1、输出阶梯波的频率能被输入直流电压所控制,频率控制范围为600Hz至1000Hz。

2、输出阶梯波的台阶级数为10级,且比例相等。

3、输出阶梯波的电压为1V/级。

4、输入控制电压的范围0.5V至0.6V。

5、电路结构简单,所用元器件尽量少,成本低。

(三)调试要求

利用实验室设备和指定器件进行设计、组装和调试,达到设计要求,写出总结报告。

(四)方案选择

在压频转换部分存在两种方案。

1、Lm358组成压频转换电路;

2、NE555构成压频转换电路。

方案论证

数字电路精确度较高、有较强的稳定性、可靠性和抗干扰能力强,数字系统的特性不易随使用条件变化而变化,尤其使用了大规模的继承芯片,使设备简化,进一步提高了系统的稳定性和可靠性,在计算精度方面,模拟系统是不能和数字系统相比拟的。数字系统有算术运算能力和逻辑运算能力,电路结构简单,便于制造和大规模集成,可进行逻辑推理和逻辑判断;具有高度的规范性,对电路参数要求不严,功能强大。为了得到更精彩的波形采用数模混合方案。

(五)实验元器件和芯片

运算放大器Lm358,TTL电路74LS20、74LS161、74LS175,CMOS缓冲器CD4010,稳压管,二极管1N4148,电位器,电容,电阻。

(六)设计方案

整体设计思路:

压频转换→计数器→权电阻→运放=>阶梯波

利用Lm358组成压频转换电路;使用CD4010缓冲,形成可被数字电路识别的矩形波信号;74LS161与74LS20组合构成十进制计数器;利用74LS175提高负载、整流信号,并组成权电阻网络;最后利用运放放大信号,并输出。仿真电路图:

详细设计: 压频转换部分:

V12 V C11uF

R1

100kΩ

R25kΩ

R31kΩ

R4100kΩ

R5100kΩU174LS161N

QA 14QB 13QC 12QD

11RCO

15

A 3

B 4

C 5D

6

ENP 7ENT

10~LOAD 9~CLR 1CLK

2

U274LS175D

1D 4CLK

9

1Q 2~CLR 12D 53D 124D

13~1Q 3~2Q 63Q 10~3Q 112Q 74Q 15~4Q

14

U3A

74LS20D

5

U4A

LM358D

3

2

4

8

1

U5A LM358D 3

2

4

8

1

U6A

LM358D

3

2

4

8

1

34U7A

40106BD_5V

6

R6

100kΩ

Key=A 50%VDD 15V VDD 15V VEE

-15V

VEE -15V

VEE -15V

VDD

15V VEE VEE

VDD

VDD

R71kΩ

VCC 5V R81kΩ

R92kΩR104kΩR118kΩR122kΩKey=A 50%R13

2kΩKey=A 50%R14

2kΩKey=A

50%

R152kΩKey=A

50%171819

20

21222324

VEE VDD

R161kΩ0

R17

680Ω

27

R18

2kΩ

26

XSC1

A B

Ext Trig

+

+

_

_

+_

1211D11N414810

98

30

7

29

VCC GND

D2

1N5758

15

25

1

2

28

电压变化时,输出频率也相应变化。其输出时矩形波。 上图采用的是电荷平衡式压频转换电路,该部分有积分器和滞回比较器组成。滞回比较器的阈值电压为:±U T =±

·U Z

在波形图的t2时段,U O1是对U I的线性积分,起始值记作+U T,终值记作-U T,因而t2应满足-UT=,解得

,当R1>>R2时,振荡周期T≈t2,故振荡频率受控于输入电压:。

Lm358芯片信息:

Wide Supply Range:

? Single Supply . . . 3 V to 32 V

(26 V for LM2904)

? or Dual Supplies . . . 1.5 V to 16 V

(13 V for LM2904)

Low Supply-Current Drain, Independent of

Supply Voltage . . . 0.7 mA T yp

Common-Mode Input Voltage Range

Includes Ground, Allowing Direct Sensing

Near Ground

Low Input Bias and Offset Parameters:

? Input Offset Voltage . . . 3 mV T yp

A Versions . . . 2 mV T yp

? Input Offset Current . . . 2 nA T yp

? Input Bias Current . . . 20 nA T yp

A Versions . . . 15 nA Typ

Differential Input Voltage Range Equal to

Maximum-Rated Supply Voltage . . . 32 V

(26 V for LM2904)

Open-Loop Differential Voltage

Amplification . . . 100 V/mV T yp

Internal Frequency Compensation 内部结构:

Lm358管脚图:

计数器部分

用74LS161和74LS20组成计数器,其中A~D 为四个输入端,QA~QD 为四个输出端,采用置数法完成十进制计数器。 ENP ,ENT ,LOAD,CLR 根据要求分别置高低电平。

CLK 连接压频转换电路的输出端。

2 V C11uF

R1

100kΩ

R25kΩ

R3

1kΩ

R4100kΩ

R5100kΩ

U4A

LM358D

3

2

4

8

1

U6A

LM358D

3

2

4

8

1

6

R6100kΩKey=A

50%VDD

15V

VDD

15V VEE

-15V

VEE

-15V

VEE VEE

VDD

VDD

R71kΩ

12

11

D11N4148

10

9

8

30

7

74LS161和74LS20管脚图:

权电阻网络部分

74LS175——四D触发器集成电路,可以用来构成寄存器。功能表如下:

权电阻网络是把数字信号转换为模拟

信号称为数模转换,其原理是将二进制

数字量形式的离散信号转换成以标准

量为基准的模拟量。

74LS175管脚图:

内部结构:

放大器部分 具有很高放大倍数的电路单元。在实际电路中,通常结合反馈网络共同组成功能模块。如图,使用运算放大器构成正向比例放大器,对输出信号幅度加以调节,以满足实验要求。

(七)实验调试过程

首先用万用表元器件测试,确定元器件完好,测试面包板,确定面包板的导通状态,然后按照设计的电路图布线,连线整齐、简明。

组装完毕后,对各个模块单独测试。

调试中发现的问题: 压频转换部分输出信号:

2 V C11uF

R1

100kΩ

R25kΩ

R31kΩ

R4100kΩ

R5100kΩU1

74LS161N QA

14QB 13QC 12QD

11RCO

15

A 3

B 4

C 5D

6

ENP 7ENT

10~LOAD 9~CLR 1CLK

2

74LS175D CLK

9

~CLR 13D 124D

13~2Q 63Q 10~3Q 112Q 74Q 15~4Q

14

U3A 74LS20D 5U4A

LM358D

3

2

4

8

1

U5A

LM358D 3

2

4

8

1

U6A

LM358D

3

2

4

8

1

3

4U7A

40106BD_5V

6

R6

100kΩ

Key=A 50%GND

VDD 15V

VDD 15V VEE

-15V

VEE VEE -15V

VDD

15V VEE VEE

VDD

VDD

R71kΩ

VCC

5V R81kΩR92kΩR104kΩ8kΩR122kΩKey=A

50%R132kΩ

Key=A 50%

R142kΩKey=A

50%R15

2kΩKey=A 50%1819

2022

2324

VEE VDD

R161kΩ0

R17680Ω

27

R182kΩ

26

XSC1

A B

Ext Trig

+

+

_

_

+_

12

11D11N414810

98

30

7

VCC GND

D2

1N5758

15

25

1228

发现信号并不整齐,影响后面TTL计数电路的识别和波形发生。

在调整稳压管和增加施密特触发器个数后,波形得到改善:

计数器部分调试:

输入脉冲选择实验板上固定脉冲,四个输出端对应接到实验板数码管上。验证十进制计数器工作情况。验证无误后,将输入脉冲改为压频转换电路生成的脉冲信号,进一步确认信号通路完好。

权电阻网络及运放部分调试:

在信号输出部分,可以产生阶梯波,但各阶幅值并不相等,使用示波器测量线发现部分阶梯大于1V,而有的小于1V。

使用万用表欧姆档测量权电阻阻值发现比例并非严格的8:4:2:1,导致阶梯比例失调。通过调节支路上的电位器使阶梯比例趋于严格。

调试完毕,最终产生的波形为:

完成本次试验全部效果。(八)总体电路

参考资料

《模拟电子技术》(第四版)童诗白主编;高等教育出版社。

《数字数字电子技术》阎石主编;高等教育出版社。

(九)心得体会

此次电子技术课程设计,让我懂得了实践的重要性。即使课本知识掌握的很好,如果不会综合运用,也是一些支离破碎的知识,这是无用的,而如果能运用而实际动手能力很差,理论与实际结合不起来,学得再好也没有用。这次课程设计恰好是将课本知识与动手实践结合起来。

一方面,它加深与巩固了所学的各章节的理论,并将其综合运用,提高了我们综合运用知识的能力;另一方面,培养了我们学习知识的兴趣。

虽然,我们这次遇到很多困难,经过努力,都得以解决。经过查资料、选方案、设计电路、撰写设计报告,使我得到一次较全面的实践训练。

首先,综合运用电子技术课程中所学到的理论知识来独立完成此次设计课题,培养我们查阅手册和文献资料的良好习惯,以及培养我们独立分析和解决实际问题的能力。

其次,在学习了理论知识的基础上进一步熟悉常用电子器件的类型和特征,并掌握合理选用的原则。

再次,就是学会电子电路的安装与调试技能,以及与同组的组员的团结合作的精神。

最后,为了满足学生对电工、电子技术课程的实践需求,学校特地给我们提供了为期四周的课程设计时间,这门课程将电子技术基础理论与实际操作有机地联系起来,意在加深我们对所学理论课程的理解。通过让我们运用已基本掌握的具有不同功能的单元电路的设计、安装和调试方法,在单元电路设计的基础上,设计出具有各种不同用途的电子装置。深化所学理论知识,培养综合运用能力,增强独立分析与解决问题的能力。训练培养严肃认真的工作作风和科学态度。同时,它也培养我们查阅资料的能力和学生的工艺素质,培养我们的团队精神以及综合设计和实践能力。就是培养我们严肃认真的工作作风和严谨的科学态度以及学会撰写课程设计报告,为以后毕业论文打好基础。

基于运放的信号发生器设计(模拟

类)

(一)设计任务

本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激振荡而产生正弦波输出电路。

(二)设计要求

1、采用经典振荡电路,产生正弦信号,频率范围400Hz~100kHz

2、双电源供电

3、信号经过放大、驱动电路,可在1KΩ负载条件下:

正弦波最大峰-峰值3V,幅值可调,谐波失真3%

(三)解决方案

产生正弦波方案很多,这里我们采取了RC自激振荡的方式产生正弦波。

使用运算放大器LM324,组成由基本放大电路,选频网络,正反馈网络构成的经典振荡电路,产生自激振荡的正弦波。使用同轴电位器,对信号的频率范围进行调节,使其在400Hz~100kHz时可产生幅值不变的正弦波。

双电源供电:选取直流电源串联追踪方式输出15V电压。

综上所述,电路应分为经典振荡器部分、两级放大部分、电压跟随器部分。

(五)实验元器件和芯片

运算放大器Lm324,NE5532,二极管,电位器,同轴电位器,电阻,电容。

(六)设计方案

整体设计思路:

正弦波发生部分→两级放大部分→电压跟随器部分=>符合要求的正弦波使用运算放大器LM324,组成由基本放大电路,选频网络,正反馈网络构成的经典振荡电路,产生自激振荡的正弦波。使用同轴电位器,对信号的频率范围进行调节,使其在400Hz~100kHz时可产生幅值不变的正弦波。在接入两级运算放大器放大到Vp-p=3V。使用NE5532制作电压跟随器,提高负载能力。

仿真电路图:

Lm324说明:

2-kV ESD Protection for:

? LM224K, LM224KA

? LM324K, LM324KA

?LM2902K, LM2902KV, LM2902KAV

Wide Supply Ranges

? Single Supply . . . 3 V to 32 V

(26 V for LM2902)

? Dual Supplies . . .1.5 V to16 V

(13 V for LM2902)

Low Supply-Current Drain Independent of

Supply Voltage . . . 0.8 mA T yp

Common-Mode Input Voltage Range

Includes Ground, Allowing Direct Sensing

Near Ground

Low Input Bias and Offset Parameters

? Input Offset Voltage . . . 3 mV T yp

A Versions . . . 2 mV T yp

? Input Offset Current . . . 2 nA T yp

? Input Bias Current . . . 20 nA T yp

A Versions . . . 15 nA Typ

Differential Input Voltage Range Equal to

Maximum-Rated Supply Voltage . . . 32 V

(26 V for LM2902)

Open-Loop Differential Voltage

Amplification . . . 100 V/mV T yp

Internal Frequency Compensation

内部结构:

NE5532说明:

Equivalent Input Noise Voltage

5 nV/√Hz T yp at 1 kHz

Unity-Gain Bandwidth . . . 10 MHz T yp

Common-Mode Rejection

Ratio . . . 100 dB T yp

High dc Voltage Gain . . . 100 V/mV T yp

Peak-to-Peak Output Voltage Swing 32 V T yp With VCC± = ±18 V and RL = 600 Ω

High Slew Rate . . . 9 V/μs Typ

Wide Supply-Voltage Range . . . ±3 V to

±20 V

内部结构:

Lm324及NE5532管脚图:

详细设计:

正弦波发生部分:

经典振荡器部分由基本放大电路,选频网络,正反馈网络组成。其中,基本放大电路作用:使电路获得一定幅值的输出量;选频网络作用:确定电路的振荡频率,保证电路产生正弦波振荡;正反馈网络作用:在振荡电路中,当没有输入信号的情况下,输入正反馈信号作为输入信号。

电路分析

RC 桥式振荡电路由RC 串并联选频网络和同相放大电路组成,图中RC 选频网络形成正反馈电路,决定振

荡频率0f ;R 1 R f 形成负反馈回路,决定起振的幅值条件,D1、D2为二极管。

该电路的振荡频率:

0f = RC

π21

起振幅值条件:

311

≥+

=R R A f v

式中d f r R R R //32+=,d r 为二极管的正向动态电阻。

电路参数确定:

根据设计所要求的振荡频率 ,先确定RC 之积,即RC=

21f π 为了使选频网络的选频特性尽量不受集成运算放大器的输入电阻和输出电阻的影响,应使R 满足下列关系式:i R >>R>>o R

一般i R 约为几百千欧以上,而o R 仅为几百欧以下,初步选定电阻之后,再算出电容的值,然后再算出R 取值能否满足振荡频率的要求。

电阻1R 和f R 应由起振的幅值条件来确定,f R ≥21R 通常取f R =(2.1~2.5)

1R ,这样既能保证起振,也不致产生严重的波形失真。

此外,为了减小输入失调电流和漂移的影响,电路还应满足直流平衡条件,即:

R= f R //1R

通常的稳幅方法是利用随输出电压振幅上升而下降的自动调节作用实现稳幅。稳幅电路由两只正反向并联的二极管和电阻并联组成,利用二极管正向动态电阻的非线性以实现稳幅,为了减小因二极管特性的非线性而引起的波形失真,在二极管两端并联小电阻 。实验证明,取3R ≈d r 时,效果最佳。

(七)实验调试过程

按照电路原理图连线。对每个模块可以分别连线然后分别检查。

实验过程产生多种失真现象,“刺突状” 失真尤为突出,示意如下:

具体波形:

实际的波形实践起来效果并不理想,振荡频率不高及停振,尤其是在使用LM324制作振荡器时波形出现严重失真。我们组查阅资料,整理出了常见的正弦波失真问题及解决方法:

①削波失真:该种失真的明显特

点是波形顶部变得平直。波形的幅度很

大,接近电源电压。造成这种失真的原

因,大多是反馈电阻值过大,使电路的

增益过大,致使输出电压峰值太大,严

重时会随着反馈电阻值的增大,输出波

形将变得极像方波。解决这种失真的方

法:减小反馈网络的总电阻而过分地减小又将使电路不能起振,因此它的大小非常关键,在不确定电阻值大小的情况下,可先使用电位器代替,通过细调电位器,将波形调到一个最好效果即可。

②停振现象:在实际制作中,由于元器件本身的质量和精度问题,也会使振荡器的制作效果大打折扣在电路中,我们需要调节同轴双联电位器来改变输出正弦波的频率。顾名思义,双联同轴电位器是由两个电位器组成,通过调节同一个轴达到同步调节两个电阻值的目的器件。但在实际中,我们发现,双联同轴电位器的两个电阻值并不能时刻保持相等,而是有一个差值,有时候这个差值很大,可达数干欧姆。差值的存在造成了振荡器在高频时出现停振现象,也就是说。振荡器的输出信号不能达到较高的频率。在这种情况下,我们当然可以更换精度和质量更好的双联同轴电位器来解决。但为节省成本,我们在实践中发现,如果用两个小、电阻分别与双联同轴电位器的两个可变电阻串联,停振问趣即可得蓟狼好的解决,从而使得振荡器的频率得到显著提高。

③“刺突状”失真:这种失真是在使用集成运放LM324制作正弦波振荡器时无法避免的棘手问题。一个简单有效的解决办法是,用一只适当阻值的电阻连接在输出端与负电源v 之间,这样可以改善输出端波形的失真,而且随着频率的改变信号的幅度基本稳定。

④稳幅:由于Uo与Uf 具有良好的线性关系,所以为了稳定输出电压的幅度。一般在电路中加入非线性环节。这里.在回路串联两个反向并联的二极管,利用电流增大时二极管动态电阻减少的特点。加入非线性环节。从而使输出电压

稳定。

在实测中,正弦波经过两级运放和电压跟随器后,总是变成三角波:正弦波产生的100kHz正弦波:

经电压跟随器后的波形:(波形失真)

失真与频率有关:

更改频率调试:

正弦波发生器产生的10kHz正弦波:

经电压跟随器后的波形:(波形完好)

阶梯波发生器

目录 任务书 (1) 前言 (3) 一. 方案论证 (4) 1.1 提出方案 (4) 1.2 方案论证 (4) 二. 基本原理 (5) 三.具体电路设计 (5) 3.1 电源电路部分 (6) 3.2 压控振荡器 (6) 3.3 计数器 (8) 3.4 数模转换电路 (9) 3.5 反相器 (11) 四.实验装调及过程及参数分析 (13) 五.实验结论及误差分析 (14) 六.心得体会 (17) 七.附录 (17)

7.1 元器件清单 (18) 7.2 器件管脚图 (18) 八.总体电路图 (19) 前言 “电子技术课程设计”是电子技术课程的实践性环节。是在我们学习了《模拟电子技术基础》和《数字电子技术基础》等课程的基础上进行的综合性训练,我们组这次训练的课题是“压控阶梯波发生器的设计与制作”。 此次课程设计的课题是针对我们学习《模拟电子技术基础》和《数字电子技术基础》这两门课程的基础上,并在其辅助下完成的。此次进行的综合性训练,不仅培养了我如何合理运用课本中所学到的理论知识与实践紧密结合,独立解决实际问题的能力。 通过此次“电子技术课程设计”我们应达到以下的基本要求: 首先,综合运用电子技术课程中所学到的理论知识来独立完成此次设计课题,培养我们查阅手册和文献资料的良好习惯,以及培养我们独立分析和解决实际问题的能力。 其次,在学习了理论知识的基础上进一步熟悉常用电子器件的类型和特征,并掌握合理选用的原则。 再次,就是学会电子电路的安装与调试技能,以及与同组的组员的团结合作的精神。 最后,为了满足学生对电工、电子技术课程的实践需求,学校特地给我们提供了为期四周的课程设计时间,这门课程将电子技术基础理论与实际操作有机地联系起来,意在加深我们对所学理论课程的理解。通过让我们运用已基本掌握的具有不同功能的单元电路的设计、安装和调试方法,在单元电路设计的基础上,设计出具有各种不同用途的电子装置。深化所学理论知识,培养综合运用能力,增强独立分析与解决问题的能力。训练培养严肃认真的工作作风和科学态度。同时,它也培养我们查阅资料的能力和学生的工艺素质,培养我们的团队精神以及综合设计和实践能力。就是培养我们严肃认真的工作作风和严谨的科学态度以及学会撰写课程设计报告,为以后毕业论文打好基础。 一.方案论证 1.1提出方案 方案一:此方案采用模拟电路中的基本模块电路进行压控阶梯波的设计,原理框图如图1所示:

三点式正弦波振荡器(高频电子线路实验报告)

三点式正弦波振荡器 一、实验目的 1、 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计 算。 2、 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影 响。 3、 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1、 熟悉振荡器模块各元件及其作用。 2、 进行LC 振荡器波段工作研究。 3、 研究LC 振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4、 测试LC 振荡器的频率稳定度。 三、实验仪器 1、模块 3 1块 2、频率计模块 1块 3、双踪示波器 1台 4、万用表 1块 四、基本原理 实验原理图见下页图1。 将开关S 1的1拨下2拨上, S2全部断开,由晶体管N1和C 3、C 10、C 11、C4、CC1、L1构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI 可用来改变振荡频率。 ) 14(121 0CC C L f += π 振荡器的频率约为4.5MHz (计算振荡频率可调范围) 振荡电路反馈系数 F= 32.0470 220220 3311≈+=+C C C 振荡器输出通过耦合电容C 5(10P )加到由N2组成的射极跟随器的输入端,因C 5容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号经

N3调谐放大,再经变压器耦合从P1输出。 图1 正弦波振荡器(4.5MHz ) 五、实验步骤 1、根据图1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 2、研究振荡器静态工作点对振荡幅度的影响。 (1)将开关S1拨为“01”,S2拨为“00”,构成LC 振荡器。 (2)改变上偏置电位器W1,记下N1发射极电流I eo (=11 R V e ,R11=1K)(将万用表红 表笔接TP2,黑表笔接地测量V e ),并用示波测量对应点TP4的振荡幅度V P-P ,填于表1中,分析输出振荡电压和振荡管静态工作点的关系,测量值记于表2中。 3、测量振荡器输出频率范围 将频率计接于P1处,改变CC1,用示波器从TP8观察波形及输出频率的变化情况,记录最高频率和最低频率填于表3中。 六、实验结果 1、步骤2振荡幅度V P-P 见表1.

EDA实验四阶梯波发生器电路的设计说明

实验四阶梯波发生器电路的设计 一、实验目的 1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用方法掌握常用电路分析方法。 2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。 3.熟练掌握有关阶梯波电路设计的方法,并应用相关知识来分析电路,掌握组 成阶梯波电路的各个部分的电路的在阶梯波电路中的作用,深刻体会阶梯波的调节方法,做到理论和实践相结合,加深对知识的理解。 二、实验要求 (1)设计一个能产生周期性阶梯波的电路,要求阶梯波周期在20ms左右,输出电压围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555定时器、D/A转换器等数字器件,也不可选用虚拟器件。) (2)对电路进行分段测试和调节,直至输出合适的阶梯波。 (3)改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压围和周期的元器件。 三、实验步骤 1.实验所用的总电路图如下图1所示:

图1 电路输出的波形如下图2和图3所示:

图2 图3 由上面两幅图可以看出阶梯波的周期为T=23.899mS,阶梯个数为5个,输出电压 为10.024V符合实验要求。 本实验所用的电路由方波发生电路、微分电路、限幅电路、积分累加器、比较

器、电子开关电路、振荡控制电路和电源等八部分电路组成,各个部分的关系可 由 下框图所示: 振荡控制电路 输出方波发生器微分电路限幅电路积分累加电路比较器 电源电子开关电路 2.电路工作原理 ①方波发生器电路 方波发生器电路如下图4所示: 图4

实验所用方波发生电路产生的方波的周期为T=Cln(1+2),带入相应 的数据可知T=2×18.7KΩ×100nF×ln(1+2)=3.76mS。其输出的方波波形如下图5和图6所示:

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

方波_三角波发生电路实验报告

河西学院物理与机电工程 学院 综合设计实验 方波-三角波产生电路 实验报告 学院:物理与机电工程学院 专业:电子信息科学与技术

:侯涛 日期:2016年4月26日 方波-三角波发生电路 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波的波形发生器。 指标:输出频率分别为:102HZ、103HZ和104Hz;方波的输出电压峰峰值VPP≥20V 一、方案的提出 方案一: 1、由文氏桥振荡产生一个正弦波信号。 2、把文氏桥产生的正弦波通过一个过零比较器从而把正弦波转换成方波。 3、把方波信号通过一个积分器。转换成三角波。 方案二: 1、由滞回比较器和积分器构成方波三角波产生电路。 2、然后通过低通滤波把三角波转换成正弦波信号。 方案三: 1、由比较器和积分器构成方波三角波产生电路。

2、用折线法把三角波转换成正弦波。 二、方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f0时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的方波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化围很小的情况下使用。然而,指标要求输出频率分别为102HZ、103HZ和104Hz 。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波、三角波发生器原理如同方案二。比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率围的限制。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 三、工作原理: 1、方波、三角波发生电路原理

压控阶梯波发生器基于运放的信号发生器设计

北京工业大学 课程设计报告 学院电子信息与控制工程 专业通信工程 班级 120241 组号 14 题目1、压控阶梯波发生器 2、基于运放的信号发生器设计 姓名周文晨 学号12024128 指导老师张国英 成绩 2014 年05 月29 日

压控阶梯波发生器 【实验名称】压控阶梯波发生器 【设计任务】在规定时间内设计并调试一个由电压控制的阶梯波发生器。 【设计要求】 1、输出阶梯波的频率能被输入的直流电压所控制,频率控制范围为600Hz---1000Hz。 2、输出阶梯波的台阶数为10级,且比例相等。 3、输出阶梯波的电压为1V/级。 4、输入控制电压的范围为0.5V至6V。 5、电路结构简单,所用原器件尽量少,成本低。 【调试要求】利用实验室设备和指定器件进行设计,组装和调试,达到设计的要求,写出总结报告 仿真图,草图,电路图附本实验的报告后 【参考元器件】 1、运算放大器uA741,LM324,LM358. 2、TTL电路74LS20,74LS161 ,74LS175。 3、CMOS缓冲器CD4010 4、稳压管二极管 5、电阻电容电位器

设计思路 一:输出阶梯波的台阶数为十阶 想法:采用十进制计数器,确保每十个时钟信号后清零。选取74LS161芯片 二:输出阶梯波每阶比例相等,电压为1V/阶 想法:⑴采用权组网路,将数字信号转化为模拟信号 ⑵运用放大器,将输出信号放大以满足要求。选取运算放大器LM358芯片 另外,74LS161是单纯的计数功能芯片,带负载能力很弱。对于后面串上的几十千欧级的电阻显得力不从心。用万用表实测74LS161输出管脚的电压值,也确实发现高电平对应的实际电压值并不恒定。为改善这样的情况,需把74LS161输出加到74LS175上,再把74LS175的输出加到CD4010上CD4010是缓冲器,可以把不稳的输入电压缓冲为稳定的输出电压,而且电流加大,大大加强了带负载的能力。74LS175和CD4010共同组成了缓冲器,虽然对电路的逻辑功能没有影响,但却是实现电路功能不可或缺的一部分。 综上所述,电路应分为压频转换波分、计数部分、全电阻网络部分和信号放大部分。我们选取的芯片为:LM358、74LS161、74LS175、CD4010

数字电路课程设计阶梯波信号发生器

《数字电路课程设计》 说明书 题目:阶梯波信号发生器 专业:电子信息科学与技术 班级:------ 学号:------ 姓名:------

目录 1、设计题目 (3) 2.设计目的: (3) 3.设计要求 (3) 4.设计方案 (3) 5.设计原理 (4) 5.1预置数功能实现 (4) 5.2时钟信号发生器 (4) 5.3 D/A转换器 (6) 5.4整体电路图 (7) 6、心得体会 (7) 7.参考文献 (7)

正文 1、设计题目 设计一个阶梯信号发生器 2.设计目的: 1).了解D/A转换电路的工作原理。 2).掌握用集成运算放大器设计D/A转换电路。 3.设计要求 1). 以集成计数器为主要器件,设计一个阶梯波发生器,要求输出如图所示波形。周期为 2ms。 2).依据设计结果,创建实验电路。 3).仿真、调试。 4.设计方案 1)由时钟信号发生器、计数器和D/A转换器组成电路。 2)时钟信号发生器的信号频率可调,可采用由555构成的多谐振荡器。 3)由74LS161反馈置零法确定方波的阶数。 4)D/A转换器将计数器的输出值转换为模拟电压。

5.设计原理 5.1预置数功能实现 如下图 5.2时钟信号发生器 时钟信号发生器可由振荡器构成,振荡器采用555构成的多谐振荡器,通过改变阻值实现振荡器频率可调。利用555定时器组成的多谐振荡器接通电源后,电容C1被充电,当电压上升到一定数值时里面集成的三极管导通,然后通过电阻和三极管放电,不断的充放电从而产生一定周期的脉冲,通过改变电路上器件的值可以微调脉冲周期。由所学知识知T= (R1+2R2)*C1,则f=1/T,通过直接按键盘字母F(增加R2的接入阻值)或者Shift+F(减小R2的接入阻值)来改变频率。 连线电路如下图:

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

阶梯波信号发生器(6阶梯)

目录 第一章:绪论 1.1 设计题目 1.2 设计要求 1.3 题目分析及构思 第二章:总体设计与实践 2.1 总体方框图 2.2 电路原理分析 第三章:测试及其分析 3.1 定性说明和定量计算 3.2 仿真 第四章:程序设计历程 4.1 仿真实现过程中遇到的问题及排除措施 4.2 设计心得体会 附录:参考文献

第一章 绪论 1.1【设计题目】: 设计题目:阶梯波信号发生器 1.2【设计要求】: 设计要求: 1.设计一个能产生周期性阶梯波的电路; 2.阶梯波周期在20ms 左右; 3.输出电压范围10V ; 4.阶梯个数4个以上; 5频率可调; 6,输出电压可调。. 1.2【设计要求】: 设计能产生周期性阶梯波的电路: t u o o U 0.25U 5 5 5 5 0.5U 0.75U 图2 阶梯信号发生器输出波形示意图 1.3【题目分析及构思】: 阶梯信号发生器可由电压跟随器、555定时器构成的多谐振荡器、六进制计数器、缓冲器、反相求和电路及反相器组成,其框图如图6.3.1所示。该电路能产生6个台阶的阶梯波。

图6.3.1 阶梯信号发生器框图 信号发生器产生三角波通过电压跟随器进入555定时器构成的多谐振荡器,,电路形成自激振荡,输出为矩形脉冲,输出的矩形脉冲通过六进制计数器进行计数,计数结果通过缓冲器进入反相求和电路进行波形相加,形成反相的阶梯波形,输出结果再通过反相器输出为正相阶梯波形。 第二章总体设计与实践 2.1【总体方框图】 图6.3.1 阶梯信号发生器框图 2.2【电路原理分析】 需要信号发生器来作为信号源。用运算放大器、电阻和可调电阻构成电压跟随器,具有电压跟随作用。555定时器构成的多谐震荡器,由震荡器产生自激震荡产生矩形脉冲,电路的充放电常数决定波的周期,所以用555定时器构成的多谐震荡器来控制阶梯波的周期。计数器74LS90D调为六进制计数,用来控制阶梯波的阶梯数。缓冲器用来缓冲信号。反相求和电路用来将信号相加,形成反相的阶梯波形。然后再通过反相器形成正相6个阶梯的阶梯波形。

信号发生器实验报告

电子线路课程设计报告设计题目:简易数字合成信号发生器 专业: 指导教师: 小组成员:

数字合成信号发生器设计、调试报告 一:设计目标陈述 设计一个简易数字信号发生器,使其能够产生正弦信号、方波信号、三角波信号、锯齿波信号,要求有滤波有放大,可以按键选择波形的模式及周期及频率,波形可以在示波器上 显示,此外可以加入数码管显示。 二、完成情况简述 成功完成了电路的基本焊接,程序完整,能够实现要求功能。能够通过程序控制实现正弦波的输出,但是有一定噪声;由于时间问题,我们没有设计数码管,也不能通过按键调节频率。 三、系统总体描述及系统框图 总体描述:以51单片机开发板为基础,将输出的数字信号接入D\A转换器进行D\A转换,然后接入到滤波器进行滤波,最后通过运算放大器得到最后的波形输出。 四:各模块说明 1、单片机电路80C51 程序下载于开发板上的单片机内进行程序的执行,为D\A转换提供了八位数字信号,同时为滤波器提供高频方波。通过开发板上的232串口,可以进行软件控制信号波形及频率切换。通过开发板连接液晶显示屏,显示波形和频率。 2、D/A电路TLC7528 将波形样值的编码转换成模拟值,完成单极性的波形输出。TLC7528是双路8位数字模拟转换器,本设计采用的是电压输出模式,示波器上显示波形。直接将单片机的P0口输出传给TLC7528并用A路直接输出结果,没有寄存。 3、滤波电路MAX7400 通过接收到的单片机发送来的高频方波信号(其频率为所要实现波频率的一百倍)D转换器输出的波形,对转换器输出波形进行滤波并得到平滑的输出信号。 4、放大电路TL072

TL072用以对滤波器输出的波进行十倍放大,采用双电源,并将放大结果送到示波器进行波形显示。 五:调试流程 1、利用proteus做各个模块和程序的单独仿真,修改电路和程序。 2、用完整的程序对完整电路进行仿真,调整程序结构等。 3、焊接电路,利用硬件仿真器进行仿真,并用示波器进行波形显示,调整电路的一些细节错误。 六:遇到的问题及解决方法 遇到的软件方面的问题: 最开始,无法形成波形,然后用示波器查看滤波器的滤波,发现频率过低,于是检查程序发现,滤波器的频率设置方面的参数过大,延时程序的参数设置过大,频率输出过低,几次调整好参数后,在进行试验,波形终于产生了。 七:原理图和实物照片 波形照片:

压控函数发生器

压控函数发生器的设计 目录 一、课程设计内容及方框图 (1) 1、课程设计内容 (1) 2、方框图 (1) 二、方案选择 (2) 三、框内电路设计 (2) (一)各框内电路独立设计 (2) 1、V ix的产生 (2) 2、跟随器: (3) 3、极性变换: (3) 4、积分器 (4) 5、比较反馈: (6) 6、非线性转换器:(即三角波-正弦波) (8) (二)方波-三角波 (10) (三)小结 (12) 四、总图调试 (12) (一)仿真过程 (12) 1、方波-三角波 (12) 2、三角波-正弦波 (13) (二)实验过程 (13) 1、方波-三角波 (13) 2、三角波-正弦波 (16) 五、参考文献 (16) 六、心得体会 (17) 附件 (18)

压控函数发生器设计实验报告 一、课程设计内容及方框图 1、课程设计内容 设计一个压控函数发生器,可以产生方波、正弦波和三角波。 要求:(1)输入为02V -的直流电压,对应输出010KHz -的函数。 (2)输出的三角波电压为4V ±;正弦波为2V ±;方波为010V -。 2、方框图 V 从积分器端输出的三角波:从比较反馈端输出的方波:

从非线性转换器输出的正弦波: 二、方案选择 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形,其电路中使用的器件可以是分立器件,也可以是集成电路,本课程设计主要研究由集成运算放大器与晶体管差分放大器组成的方波--三角波—正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以先产生三角波—方波,再将三角波变成正弦波或者方波变成正弦波,本课程设计中,采用先产生方波—三角波,再将三角波变成正弦波的电路设计方法。由积分器和反馈比较器分别得到三角波、方波,再将三角波输入差分放大器,利用差分放大器传输曲线的非线性特征得到正弦波。 三、框内电路设计 (一)各框内电路独立设计 1、ix V 的产生 对ix V 的要求为02V -的直流电压,故采用电阻电位分压方式产生,具体发生电路如图31-。 因为电源电压为12V ,故R15R2=,在此选取R1=5k Ω,21R K =Ω。

信号发生器实验报告(DOC)

信号发生器 F组 组长:*** 组员:***、*** 2013年8月12日星期一

1系统方案 (4) 1.1系统方案论证与选择 (4) 1.2方案描述 (4) 2理论分析与计算 (5) 3电路与程序设计 (6) 3.1电路的设计 (6) 3.1.1 ICL8038模块电路 (6) 3.1.2 放大电路 (6) 3.2程序的设计 (7) 4测试方案与测试结果 (9) 4.1测试仪器与结果 (9) 4.2调试出现的问题及解决方案 (9) 5 小结 (10)

本系统设计的是信号发生器,是以 ICL8038和 STC89C51为核心设计的数控及扫频函数信号发生器。ICL8038作为函数信号源结合外围电路产生占空比和频率可调的正弦波、方波、三角波;该函数信号发生器的频率可调范围1~100kHz,波形稳定,无明显失真。单片机控制LCD12864液晶显示频率、频段和波形名称。 关键字:信号发生器ICL8038、 STC89C51、波形、LCD12864

信号发生器实验报告 1系统方案 1.1系统方案论证与选择 方案一:由单片机内部产生波形,经DAC0832输出,然后再经过uA741放大信号后,最后经过CD4046和CD4518组成的锁相环放大频率输出波形,可是输出的波形频率太低,达不到设计要求。 方案二:采用单片机对信号发生器MAX038芯片进行程序控制的函数发生器,该发生器有正弦波、三角波和方波信号三种波形,输出信号频率在0.1Hz~100MHz 范围内。MAX038为核心构成硬件电路能自动地反馈控制输出频率,通过按键选择波形,调节频率,可是MAX038芯片价格太高,过于昂贵。 方案三:利用芯片ICL8038产生正弦波、方波和三角波三种波形,根据电阻和电容的不同可以调节波形的频率和占空比,产生的波形频率足够大,能达到设计要求,而且ICL8038价格比较便宜,设计起来成本较低。 综上所述,所以选择第三个方案来设计信号发生器。 1.2方案描述 本次设计方案是由ICL8038 芯片和外围电路产生三种波形,由公式: ,改变电阻和电容的大小可以改变波形的频率,有开关控制频段和波形并给单片机一个信号,由单片机识别并在LCD液晶屏上显示,电路的系统法案框图为下图1所示: 图1 总系统框图

信号发生器实验报告(终)

南昌大学实验报告 学生姓名:王晟尧学号:6102215054专业班级:通信152班 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p=6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶 m 体管的截止电压值。 图4 三角波→正弦波变换电路

阶梯波发生器实验报告

电子电路综合实验设计 实验名称:阶梯波发生器的设计与实现 学院: 信息与通信工程学院 班级: xxxxxxxxx 学号: xxxxxxxxxx 班内序号: xx 姓名:大学霸

实验报告大纲 一、实验课题 二、实验要求 1.实验内容摘要及关键词 2.实验任务及设计要求 三、实验设计 1.设计思路及总体结构框图 2.元器件资料 3.分块电路和总体电路的设计 四、所实现功能说明 1.基本功能 2.扩展功能 3.探索环节 五、故障及问题分析 六、实验总结和结论 七、其他 1.PROTEL绘制的原理图(SCH)及印制电路板(PCB) 2.实验所用元器件及测试仪表清单 3.参考文献

一、实验课题 阶梯波发生器的设计与实现 二、实验要求 1.实验内容摘要及关键词 (1)实验内容摘要 本实验的目的是设计与实现一个阶梯波发生器。实验电路由窄脉冲-锯齿波发生器构成,通过将运算放大器的几个典型电路——方波发生器、积分器和迟滞电压比较器,以及二极管形成的控制门等主要元器件,进行合理的改进组合,设计出阶梯波发生电路。实验用两个二极管分别作为阶梯波形成控制门和阶梯波返回控制门;通过调节相应的电位器,改变阶梯数、阶梯幅值、阶梯周期以及阶梯波周期等波形特性。 而且通过对该电路的适当改价,可以完成一个三极管输出特性测试电路。 阶梯波发生器还有多种设计方案,本实验将就其中一种进行研究。 (2)关键词 阶梯波、集成运放、窄脉冲发生器、迟滞电压比较器、积分器 2.实验任务及设计要求 1、 基本要求: 1) 利用所给元器件设计一个阶梯波发生器,500,3opp f Hz U V ≥≥,阶数6N =; 2) 设计该电路的电源电路(不要求实际搭建),用PROTEL 软件绘制完 整的电路原理图(SCH )及印制电路板图(PCB )。 2、 提高要求: 利用基本要求里设计的阶梯波发生器设计一个三极管输出特性测试电路,在示波器上可以观测到基极电流为不同值时的三极管的输出特性曲线束。 3、 探究环节:

正弦波振荡器实验报告

正弦波振荡器实验报告 姓名: 学号: 班级: 实验目的 1. 掌握LC 三点式振荡电路的基本原理,掌握LC 电容反馈式三点振荡电路设计及电参数计算。

2. 掌握振荡回路Q 值对频率稳定度的影响。 3. 掌握振荡器反馈系数 不同时,静态工作电流IEQ 对振荡器起振及 振幅的影响。 二、实验电路图 三、实验内容及步骤 1. 利用EWB 软件绘制出如图 1.7 的西勒振荡器实验电路。 2. 按图设置各个元件参数,打开仿真开关,从示波器上观察振荡波形读出振荡频率,并做好记录 3. 改变电容 C 6的值,观察频率变化,并做好记录。填入表 1.3中。4.改变电容C4的值,分别为0.33μF和0.001μF,从示波器上观察起振情况和振荡波形的好坏,并做好记录。填入表 1.3 中。 5.将C4 的值恢复为0.033μF,分别调节Rp 在最大到最小之间变化时,观察振荡波形,并做好记录。填入表 1.4 中。 四、暑假记录与数据处理

1、电路的直流电路图和交流电路图分别如下: (1):直流通路图 2)交流通路图 2、改变电容 C 6的值时所测得的频率 f 的值如下: 3、 C40.033μF0.33μF0.01μF C6(pF)270470670270470670270470670

F(Hz)494853.5403746.8372023.832756.832688.232814.4486357.7420875.4373357.2 1)、当C4=0.033uF 时: C6=270pF 时, f= 1/T=1000000/2.0208=494853.5HZ C6=470pF 时,f=1/T=1000000/2.4768=403746.8HZ

方波发生器实验报告

方波发生器及其调制 一、实验内容 设计一方波信号发生器,采用ROM进行一个周期数据存储,并通过地址发生器产生方波信号。并通过控制端输入a对方波信号进行调幅和调频。ROM(4位地址16位数据) 二、实验原理 方波信号发生器是由地址发生器和方波数据存储器ROM两块构成,输入为时钟脉冲,输出为8位二进制。

1地址发生器的原理 地址发生器实质上就是计数器,ROM的地址是4位数据,相当于16位循环计数器。 2.只读存储器ROM的设计 (1)、VHDL编程的实现 ①基本原理:为每一个存储单元编写一个地址,只有地址指定的存储单元才能与公共的I/O 相连,然后进行存储数据的读写操作。 ②逻辑功能:地址信号的选择下,从指定存储单元中读取相应数据。 3.调幅与调频 通过输入信号a(3位数据),选择不同调制,如 a=000,2分频 a=001,4分频 a=010,8分频 a=011,16分频 a=100,2倍调幅 a=101,4倍调幅 a=110,8倍调幅 a=111,16倍调幅 分频原理:偶数分频,即分频系数N=2n(n=1,2,…),若输入的信号频率为f,那么分频器的输出信号的频率为f/2n(n=1,2,…)。 调幅原理:通过移位寄存器改变方波幅值(左移)。 三、设计方案 1. 基于VHDL编程的设计 在地址信号的选择下,从指定存储单元中读取相应数据,系统框图如下: FPGA 四、原理图 1、VHDL编程的实现

(1)、顶层原理图 (2)、地址发生器的VHDL语言的实现library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity addr_count is port ( clk1khz: in std_logic; qout: out integer range 0 to 15 ); end addr_count; architecture behave of addr_count is signal temp: integer range 0 to 15;

阶梯波

Multisim设计报告 姓名: 田丹丹 学院: 机电与信息工程学院 专业: 电子信息科学与技术 班级: 2011级电子2班 学号: 201100800337 日期 2013年7月11日 指导教师: 李素梅、常树旺 山东大学威海分校信息工程学院

阶梯波信号发生器仿真设计 (一)题目设计要求,设计电路实现的功能、性能指标。 题目设计设计要求:设计一个频率可调、阶数可调的阶梯波发生器,在Multisim中进行仿真分析。 电路实现的功能:得到一个频率可调、阶数可调的阶梯波发生器。电路的性能指标:频率可调范围比较大,阶数可调的阶数范围合理,输出完美的平滑的无毛刺的阶梯波。 (二)设计方案 a.由时钟信号发生器、计数器和D/A转换器组成电路。 b.时钟信号发生器的信号频率可调,可采用压控振荡器或由555构 成的多谐振荡器。 c.计数器的进制数决定阶梯波的阶数,(所以可采用有预置数功能的 减法计数器,通过置数改变计数器的进制数。) d. D/A转换器将计数器的输出值转换为模拟电压。 e.通过低通滤波器使输出的波形变得平滑无毛刺。 (三)电路框图 时钟信号发生器(f可调)N 进制计数 器 D/A转换 器 N阶阶梯波

(四)电路原理图 从图中可以看出一共有四个部分 a.74LS161D构成的十六进制计数器, b.555构成的多谐振荡器, c.D/A转换器和低通滤波器。 1、74LS161D构成的十六进制计数器

电路采用74LS161十进制加法计数器构成的十六进制计数器。采用置数端归零的方法,清零端接高电平。 通过控制单刀双掷开关将A、B、C、D与高电平或低电平相连,DCBA表示的十进制数是15-N,N为输出阶梯波的阶数,即通过单刀双掷开关控制阶梯波的阶数。如,DCBA为0111时,即ABC接高电平,D接低电平,输出为8阶阶梯波。 2、由555构成的多谐振荡器电路图。 电源接通后,Vcc通过电阻R1、R2、R3向电容C2充电。当C2

函数信号发生器实验报告

青海师范大学 课程设计报告课程设计名称:函数信号发生器 专业班级:电子信息工程 学生姓名:李玉斌 学号:20131711306 同组人员:郭延森安福成涂秋雨 指导教师:易晓斌 课程设计时间:2015年12月

目录 1 设计任务、要求以及文献综述 2 原理综述和设计方案 2.1 系统设计思路 2.2设计方案及可行性 2.3 系统功能块的划分 2.4 总体工作过程 3 单元电路设计 3.1 安装前的准备工作 3.2 万用表的安装过程 4 结束语 1设计任务、要求 在现代电子学的各个领域,常常需要高精度且频率可方便调节的信号发生器。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路称为函数信号发生器,又名信号源或振荡器。函数信号发生器与正弦波信号发生器相比具有体积小、功耗少、价格低等优点, 最主要的是函数信号发生器的输出波形较为灵活, 有三种波形(方波、三角波和正弦波)可供选择,在生产实践,电路实验,设备检测和科技领域中有着广泛的应用。 该函数信号发生器可产生三种波形,方波,三角波,正弦波,具有数字显示输出信号频率和电压幅值功能,其产生频率信号范围1HZ~100kHZ,输出信号幅值范围0~10V,信号产生电路由比较器,积分器,差动放大器构成,频率计部分由时基电路、计数显示电路等构成。幅值输出部分由峰值检测电路和芯片7107等构成。 技术要求: 1. 信号频率范围 1Hz~100kHz; 2. 输出波形应有:方波、三角波、正弦波; 3. 输出信号幅值范围0~10V; 4. 具有数字显示输出信号频率和电压幅值功能。

2原理叙述和设计方案 2.1 系统设计思路 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件(如低频信号函数发生器S101全部采用晶体管),也可以是集成器件(如单片集成电路函数信号发生器ICL8038)。产生方波、正弦波、三角波的方案也有多种,如先产生方波,再根据积分器转换为三角波,最后通过差分放大电路转换为正弦波。频率计部分由时基电路、计数显示电路等构成,整形好的三角波或正弦波脉冲输入该电路,与时基电路产生的闸门信号对比送入计数器,最后由数码管可显示被测脉冲的频率。产生的3种波经过一个可调幅电路,由于波形不断变化,不能直接测出其幅值,得通过峰值检测电路测出峰值(稳定的信号幅值保持不变),然后经过数字电压表(由AD转换芯片CC7107和数码管等组成),可以数字显示幅值。 2.2设计方案及可行性 方案一:采用传统的直接频率合成器。首先产生方波—三角波,再将三角波变成正弦波。 方案二:采用单片机编程的方法来实现(如89C51单片机和D/A转换器,再滤波放大),通过编程的方法控制波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率变换。 方案三:是利用ICL8038芯片构成8038集成函数发生器,其振荡频率可通过外加直流电压进行调节。 经小组讨论,方案一比较需要的元件较多,方案二超出学习范围,方案三中的芯片仿真软件中不存在,而且内部结构复杂,不容易构造,综合评定,最后选择方案一。 2.3系统功能块的划分 该系统应主要包括直流稳压电源,信号产生电路,频率显示电路和电压幅值显示电路四大部分。 直流稳压电源将220V工频交流电转换成稳压输出的直流电压,信号产生电路产生的信号,经过适当的整形,作为频率显示电路的输入,从而达到了数字显示频率的要求;产生的信号经过幅频显示部分(峰值检测电路和数模转换),便

阶梯波发生电路的设计

实验三阶梯波发生电路的设计 一、实验目的 1、掌握阶梯波发生器电路的结构特点。 2、掌握阶梯波发生器电路的工作原理。 3、学习复杂的集成运算放大器电路的设计。 二、实验要求 1、设计一个能产生周期性阶梯波的电路,要求阶梯波周期在18ms左右,输出电压 范围10V,阶梯个数5个。(注意:电路中均采用模拟、真实器件,不可以选用计数器、555定时器、D/A转换器等数字器件,也不可选用虚拟器件。) 2、对电路进行分段测试和调节,直至输出合适的阶梯波。 3、改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的 元器件。 三、实验原理 1、阶梯波发生器原理 要设计阶梯波发生电路,首先要设计好方波发生电路,然后通过微分电路,这是会得到上下均有尖脉冲的波形。这是要只取上面的尖脉冲,就需通过限幅电路滤除下半部分的波形。当这些脉冲经过积分累加电路时,一个尖脉冲累加为一个固定的值,下一个脉冲到来时又会增加同样的一个值,于是输出形成了阶梯波形。当累加结果没有超过比较器的阈值时,会一直累加下去。而达到门限后,比较器输出电压翻转,输出正电压使振荡控制电路工作,使方波停振,同时积分电容对地短路放电,电容器恢复起始状态累加结束。而在电容放电之后,积分器输出由负值向零跳变,使比较器又一次翻转,振荡电路不能工作,比较器输出变为负 阶梯波发生原理框图 2、实验原理图

阶梯波原理图 四、实验过程 1、电路设计 (1)方波发生电路设计 设计电路如图3.03所示,从图3.04所示的示波器中可读出方波的周期为3.774ms。 方波发生电路

方波波形 (2)微分电路设计 在上图所示的方波发生电路的输出端接电阻R3和电容C2即可组成下图所示的微分电路,示波器所得的输出波形见下下图的尖脉冲波形。

相关文档
最新文档