彩灯循环控制电路的设计与制作样本

彩灯循环控制电路的设计与制作样本
彩灯循环控制电路的设计与制作样本

学号:

课程设计

题目

学院

专业

班级

姓名

指引教师

年月日

目录

摘要 (3)

1设计任务及要求 (4)

2方案设计及选择 (4)

2.1 方案设计 (4)

2.2 方案的比较与选择 (10)

3单元电路的设计 (11)

4 整体电路图及原理 (19)

4.1整体电路图 (19)

4.2工作原理 (20)

5 电路调试及结果分析 (20)

5.1调试 (20)

6 结果评价与改进方法 (22)

6.1结果评价 (22)

6.2改进方法 (22)

7总结 (22)

8参考文献 (23)

摘要

多组彩灯按照一定顺序点亮构成电路具备很高观赏性,在生活中有着很广泛应用,例如广告牌,霓虹灯等。本设计中彩灯控制器可用于对霓虹灯或彩灯及节日字灯控制,本次课程设计将对设计框图、设计电路图、单元电路图、工作原理、所用器件、电路调试等方面进行简介,最后对本次课程设计进行总结。

核心词:循环,计数,单元电路

彩灯循环控制电路设计与制作

1设计任务及规定

(1)8个彩灯可以自动循环点亮。

(2)彩灯循环显示且频率快慢为1S。

选作:设计具备控制彩灯左移,右移,全亮及全灭功能电路

2方案设计及选取

2.1 方案设计

2.1.1 方案一

依照设计规定,使用计数器来实现循环,设计电路使其可实现如下功能 1)彩灯右移依次点亮循环;

0101

2)彩灯左移依次点亮循环:

0111

0011

0010

3)彩灯全灭功能;00000000 设计方案原理图

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

1_彩灯循环控制器

4.2 应用电路1 彩灯循环控制器的设计与仿真分析 变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢? 这就是我们下面要讨论的课题——彩灯循环控制电路。 在实际工作中,能够实现彩灯循环控制要求的电路形式或方案很多,现给出几种与数字电路内容结合紧密且容易实现的电路方案,以期使读者开阔思路,学习数字电路的设计与制作方法。 1电路设计分析 (1)彩灯循环控制技术指标 ①彩灯能够自动循环点亮。 ②彩灯循环显示且频率快慢可调。 ③该控制电路具有8路以上输出。 (2)方案论证与实现 彩灯循环控制电路主要由3部分组成,其整体框图如图4—5所示。 ①振荡电路。 主要用来产生时间基准信号(脉冲信号)。因为循环彩 灯对频率的要求不高,只需要能产生高低电平,且脉冲 信号的频率可调,所以采用555定时器组成的振荡器, 其输出的脉冲作为下一级的时钟信号。电路如图4-6所不,接上示波器是为了调试振荡器输出信号波形,调试好后就可以去掉示波器,振荡器电路作为一个模块就可以和后续电路相连接了。图4—7为调试好的振荡器输出波形。 ②计数器/译码分配器。 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器CD4017,它是一种用途非常广泛的集成电路芯片。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是00、01、02、…、09依次出现与时钟同步的高电平,宽度等于时钟周期。 CD40 1 7有3个输入端(MR、CP0和~CP 1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1~O9)均为低电平。CP0和~CP l是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP l端输入。设置2个时钟输入端,级联时比较方便,可驱动更多的二极管发光。 CD401 7有10个输出端(O0~O9)和1个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

彩灯循环电路设计说明

目录 摘要 (Ⅰ) 1 理论知识准备 (1) 2 方案论证 (2) 2.1 备选方案 (2) 2.2 方案选择 (5) 3 电路设计 (7) 3.1 选择器件 (7) 3.1.1 555定时器 (7) 3.1.2 74LS194移位寄存器 (8) 3.2 功能模块 (10) 3.2.1 时钟脉冲产生电路 (10) 3.2.2 彩灯维持电路 (12) 3.2.3 显示电路 (14) 4 电路调试 (15) 4.1 总体电路仿真 (15) 4.2 电路布线 (16) 4.3 电路调试结果 (17) 心得体会 (18) 参考文献 (19)

1 理论知识准备 本次做的彩灯循环控制其实也可以看成是不是用单片机而实现的流水灯电路,流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。 循环彩灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。控制程序中,关键在于数据移位方向的控制。单方向控制的流水灯,使用左移寄存器可容易地实现。如果流水灯的点亮顺序是双向的,则使用双向移位寄存器进行控制。 由于本次设计只是设计了单向的彩灯循环电路,所以彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。 由于本次设计并不是很复杂,所以本设计只采用数字集成电路的555定时器和移位寄存器,产生相应的控制信号,从而控制彩灯的闪烁。数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路自动循环切换的流水彩灯。 该设计可以用做广告牌边框灯光设计,如果用条形光柱代替彩灯,还可以作为广告牌的背景闪烁灯光使用,增强广告的感染力。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

数电课设——彩灯循环显示控制电路设计

课程设计 题目彩灯循环显示控制电路设计学院 专业 班级 姓名 指导教师 2009 年7 月 2 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件; 芯片:74HC390,74HC160,74HC113等。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计出完整的彩灯循环显示控制电路设计电路设计; 2、对电路原理各部分进行准确的分析; 3、写出电路的工作过程; 4、对设计电路进行准确的仿真; 5、能够分析出理论与实际的误差原因; 6、本课设的技术要求较简单,能了解原理及分析仿真结果即可。 时间安排: 19周理论讲解及任务安排; 20周方案设计、仿真及制作; 20周答辩。 指导教师签名: 2009 年 7 月 2 日 系主任(或责任教师)签名:年月日

目录 摘要.............................................................. I Abstract........................................................... I I 1、Multisim软件简介 (1) 2、设计目的、任务及要求 (3) 2.1设计目的 (3) 2.2设计任务 (3) 2.3设计要求 (3) 3、设计方案比较 (4) 3.1数列的循环方案比较 (4) 3.2数字显示的部分 (5) 3.3方案选择 (6) 3.4方案的确定 (6) 4、电路设计 (7) 4.1芯片介绍 (7) 4.2原理设计 (9) 4.2.1数列循环电路设计 (9) 4.2.2序列显示电路设计 (10) 4.2.3二分频电路的设计 (12) 4.2.4脉冲产生电路的设计 (12) 5、总原理图设计 (14) 6、电路仿真 (16) 6.1二分频仿真 (16) 6.2脉冲电路仿真 (17) 6.3整体仿真 (18) 7、结语 (19) 参考文献 (20) 附录 (21)

单片机控制的循环彩灯控制系统的设计

单片机控制的循环彩灯控制系统的设计 一、实习目的 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二、实习内容 利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。 三、实习器材 TDN86/51二合一微机实验教学系统1台,配套计算机一台,连接导线若干。 四、系统仿真图

五、软件流程图

选择花型 六、程序设计 1、程序是按照模块化设计的,一共分为四大模块 1)基本程序模块 延时程序、设置按键处理程序、主程序初始化及初值设定 2)LED控制程序 3)取消按键抖动程序 4)控制程序 2、设计要求 能输出4种花型,并能由人工进行花型的切换。可以使用按键,也可以使用波动1)开关来实现切换,方法不限。 参考花型: (1)使彩灯从右到左逐一循环点亮。 (2)使彩灯交替闪烁。

(3)使彩灯从左到右逐一点亮,当全部点亮后同时闪烁一次。 (4)使彩灯从两边到中间顺序点亮,直至全部点亮;再将彩灯从中间到两边熄灭,直至全部熄灭。 2)循环彩灯的循环速度可调。 按键要求: (1)启动/暂停。按动一次启动,再按一次暂停。是一个双态转换键。 (2)花型变化。按一次,立即停止当前花型的显示,转换为下一种花型。4种花型可以循环切换。 (3)速度+。按键一次,速度略加快一点。 (4)速度-。按键一次,速度略减慢一点。 3)在LED显示器上显示相应的花型号。 3、系统总体设计 充分利用TDN86/51二合一微机实验教学系统的硬件资源来实现循环灯的功能,因此: (1)利用8051的P1端口接发光二极管,以模拟彩灯控制。 (2)利用8051的P3端口接拨动开关;利用拨动开关提供逻辑0和逻辑1,模拟按键操作,以实现4种花型之间的切换、加快循环速度、减慢循环速度以及暂停循环灯循环等操作,具体定义如表1.1和表1.2所示。 表1.1 拨动开关与对应的花型 表1.2 拨动开关功能表 (3)8051通过8155对LED显示器控制显示相应的花型编号。

相关文档
最新文档