数字秒表的设计
数字式秒表设计

一、内容摘要本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,是由微动开关、抖动消除电路、三状态控制电路、微分及整形清零电路、上电复位电路、0·1秒脉冲发生器、闸门计数控制电路、译码及显示电路组成的电子秒表,其中核心的部分为0·1秒脉冲发生器、计数、译码及显示电路部分,而其它部分是为使电子秒表在0.1~9·9秒范围内测定时间附加上的一些外围控制电路。
本设计报告由内容摘要、设计任务指标、系统方案论证、元件清单、单元电路设计、电路图及电路工作原理、组装调试、设计成果的评价、课程设计心得体会和参考文献十大部分组成,力求将整个系统的设计过程、原理、以及心得体会完整的呈现出来。
二、设计任务及指标1通过本课程设计计算、安装调试、资料整理、撰写报告等环节,初步掌握电子设计方法以及完成数字秒表的电路设计。
2利用基本RS触发器、脉冲发生器及计数、译码、显示等单元电路设计数字秒表。
3由2位数码管显示计数时间,显示分辨率为0.1s,计时误差小于5%。
4在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试,实现上电自动清零、启动计时显示、暂停计时显示以及重新计时等控制功能。
三、系统设计方案论证1数字式秒表,首先需要一个数字显示。
按设计要求,须用数码管来做显示器。
题目要求最大记数值为9.9秒,则需要一个8段数码管作为秒位(有小数点)和一个7段数码管作为分秒位。
要求计数分辨率为0. 1秒,那么我们需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
秒表核心部分——计数器,使用两个74LS390计数器构成,这种连接方式简单,使用元器件数量少。
计数脉冲是由555定时器构成的多谐振荡器,产生10赫兹脉冲,如果精度要求高,也可采用石英振荡器。
在选择译码器的时候,有多种选择,如74LS46,74LS47,74LS48等4-7线译码器。
数字秒表的系统设计

3 数字秒表的系统设计3.1 系统的整体设计数字秒表的设计主要是一个计数电路,首先对一个时钟进行不同的分频,然后将分频出的时钟分别送给相应的模块,毫秒计数器,秒计数器,分计数器,时计数器,然后经过译码电路送给数码管,显示出相应数字,具体的秒表设计系统框图如下所示。
图3.1 系统框图本次设计采用1.25mhz的基准输入脉冲,将其进行12500分频后得到100hz的标准脉冲,因为秒表的精确度要求为0.01s,所以需要用100hz的脉冲。
分频模块的输出脉冲作为计时模块的输入,当第一个模块计数满100后向秒进位,当秒计数满60后向分进位,分记满60后向小时计数,然后将要显示的数字进行译码后送给七段数码管进行显示即可。
3.2 秒表的层次化设计3.2.1 分频模块分频电路在EDA的各种设计电路中应用非常多。
我们经常用分频电路来实现多种我们需要的不同频率的脉冲。
分频的基本原理就是将一个频率比较高的数字脉冲经过是当的处理后,输出一个或者多个频率相对较低数字脉冲,它的实质是计数器,计数的大小即分频常数有输入和输出的比值决定,它的输出是依据分频常数对输出信号的低电平和高电平的控制。
另外分频器可分为整数分频和小数分频,本次设计采用整数分频。
本次设计的精确度为0.01s,首先需要一个准确的计时基准时钟,它的周期为10ms,即需要用100hz的计时时钟,本次设计提供的时钟信号源为1.25mkhz,先经过12500分频后可以获得100hz的时钟,让100hz作为计时模块的输入,用VHDL语言实现如下。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fenpin ISPORT ( en, clock: IN STD_LOGIC ;clk1: OUT STD_LOGIC);END fenpin;ARCHITECTURE bhv OF fenpin ISBEGINPROCESS(clock)V ARIABLE cout:INTEGER:=0;BEGINIF clock'EVENT AND clock='1' and en='1' THENcout:=cout+1;IF cout<=6250 THEN clk1<='0';ELSIF cout<12500 THENclk1<='1';ELSE cout:=0;END IF;END IF;END PROCESS;END bhv;3.2.2 计时电路模块计时电路是EDA进行各种数字电路的设计时几乎是不可缺少的一部分,可用于分频,定时电路的定时,基本的计数功能,甚至还可以用来做数学运算等。
期末大作业 数字秒表设计

if cnt0="1001" then
co<='1';
cnt0:="0000";
elsif cnt0<"1001" then
cnt0:=cnt0+1;
co<='0';
end if;
else cnt0:=cnt0;
end if;
end if;
secm0<=cnt0;
end process;
time:in std_logic_vector(23 downto 0);
h1,h0,m1,m0,s1,s0:in std_logic_vector(3 downto 0);
qlk:out std_logic);
end nz;
architecture sss_arc of nz is
begin
process(clk)
use ieee.std_logic_unsigned.all;
entity MINUTE is
port(clk,en,clr:in std_logic;
min1,min0:out std_logic_vector(3 downto 0);
co:out std_logic);
end MINUTE;
architecture MIN of MINUTE is
期末大作业数字秒表设计
一、实验任务及要求
设计用于体育比赛用的数字秒表,要求:
1、及时精度大雨1/1000秒,计数器能显示1/1000秒时间,提供给计时器内部定时的始终频率为12MHz;计数器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒。
数字秒表课程设计说明书

1概述1.1课程设计的目的课程设计的目的主要是通过设计环节的实际训练,加深学生对该课程基础知识和基本理论的理解和掌握,培养学生综合运用所学知识的能力,使之在理论分析、设计、计算、制图、运用标准和规范、查阅设计手册与资料以及计算机应用能力等方面得到初步训练,促进学生养成严谨求实的科学态度。
1.2课程设计的技术要求(1)设计一个能测量八名运动员短跑成绩的数字秒表。
要求用四位数码管显示时间,格式为00:00s。
(2)秒表设置九个开关输入(清零开关一个和记录开关八个)。
按下记录开关,将当前计数时间暂存并显示在数码管上。
(3)确定设计方案,按功能模块的划分选择元器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
2数字秒表的设计与制作2.1设计方案选择本系统主要由555 定时器构成的多谐震荡电路,以74LS90芯片为核心的多功能计数器,以及以74LS48 和LED 共阴极数码管为核心的译码驱动显示电路等组成。
通过555 定 时电路产生一个100HZ 的脉冲信号(其对应最小计时单位0.01S ),在脉冲发生由高电平到低电平变化时驱动低位计数器进行计数,在驱动译码显示的同时,满进制向高位发出进位信号并自身清零。
可以通过外围控制电路实现对秒表的清零和显示暂停等功能。
本设计可以有以下几种常见的设计思路:其一是始终发生电路采用固定频率的晶振实现脉冲信号的产生,在经过分频器实现分频,最终得到100HZ 的信号。
其二是计数电路的设计可以通过74LS92 和74LS160实现,也可以由74LS290 实现,最终确定采用74LS90方案,因为此计数不需要进行置数(除了清零),因此采用74LS90比较简洁。
2.2系统模块组成数字秒表主要由多谐振荡电路、计数电路、寄存电路和译码显示电路组成。
系统组成框图如下图所示:图2.2.1 系统组成框图2.3系统功能要求(1)具有始终秒表系统功能要求显示功能,用四个数码管分别显示秒和分;(2)具有3种功能状态:系统时间运行状态,系统时间至零状态,暂存显示状态,通过输入控制信号可以使系统在这3个状态之间切换,使数码管显示相应状态的时间;(3)秒采用100进制计数,当计数到99时又会恢复为00;百分秒采用100进制计数,当计数到99时,向上进位并恢复00。
数字秒表设计报告

摘要要求设计一个计数范围在0.0-9.9秒的数字秒表,精确度为0.1秒。
电路设计基本包括0.1秒脉冲发生器、信号控制端、整形电路、计数电路、译码电路和显示器这几部分构成。
0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,信号控制端由D触发器实现,即74LS74N,能够对整个电路进行清零、计数、停止和复位的作用。
计数器由两个十进制BCD 码74LS160级联而成。
在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。
这个电路设有两个开关s1,s2,来实现对电路的清零、计数、暂停、复位的控制。
这样,一个简易的数字秒表便设计完成了。
关键字:555定时器、D触发器、编码、译码ABSTRACTDesign a digital stopwatch counting range in 0.0-9.9 seconds, accuracy of 0.1 seconds. Basic including 0.1 second pulse generator circuit design, signal control terminal, shaping circuit, counting circuit, decoding circuit and a display of this a few parts. More than 0.1 second pulse generator composed of 555 timer harmonic oscillation circuit implementation, signal control comprised D flip-flop, namely 74LS74N, can be reset to the whole circuit, counting, stop and reset. Two decimal counter by BCD 74LS160 cascade. In the four output end of the counter four load respectively at the decoder side, decoder by 74LS48 implementation. This circuit is equipped with two switch S1, S2, to implement to reset circuit, counting, suspend, and reset the control. So will design a simple digital stopwatch is complete.Key Word:555 timer, D flip-flop, encoding and decoding目录摘要------------------------------------------------------------------------1 1.设计目的及要求------------------------------------------------------31.1设计目的-----------------------------------------------------------31.2设计要求-----------------------------------------------------------32.设计原理及分析------------------------------------------------------42.1设计构想框图-------------------------------------------------------42.2设计原理分析-------------------------------------------------------42.2.1多谐振荡电路------------------------------------------------42.2.2开关控制端与D触发器----------------------------------------52.2.3与非门电路--------------------------------------------------52.2.4显示译码电路------------------------------------------------53.制作过程--------------------------------------------------------------73.1布局连线-----------------------------------------------------------73.2调试---------------------------------------------------------------73.3遇到问题及解决方法-------------------------------------------------84.心得感悟--------------------------------------------------------------8参考文献------------------------------------------------------------------9附录附录一元器件清单------------------------------------------------------10 附录二电路图----------------------------------------------------------101.设计目的及要求1.1设计目的通过对数字秒表的设计,熟练掌握555定时器脉冲信号产生的原理和D触发器的功能及原理,利用所学的电子技术基础(模拟部分)知识,回顾脉冲信号产生、计数、编码、译码的原理机制,进行对生活中不可或缺的秒表的设计。
数字秒表设计(4位)

目录1 数字秒表方案设计与论证 (2)2 数字秒表总体设计 (2)3 电子秒表的工作原理 (4)3.1脉冲源电路 (4)3.2分频器电路 (4)3.3时间计数单元 (5)3.4码驱动及显示单元 (8)3.5元件列表 (9)4 调试 (10)5 电路测试及测试结果 (10)6 心得体会 (12)7 参考文献 (13)1 数字秒表方案设计与论证电子秒表的工作原理就是不断输出连续脉冲给加法计数器,而加法计数器通过译码器来显示它所记忆的脉冲周期个数。
1时钟发生器:利用石英震荡555定时器构成的多谐振荡器做时钟源,产生脉冲;2记数器:对时钟信号进行记数并进位,毫秒和秒之间10进制,秒和分之间60进制; 本设计采用二—五—十进制加法计数器74LS90构成电子秒表的计数单元,3译码器:对脉冲记数进行译码输出到显示单元中;4显示器:采用4片LED显示器把各位的数值显示出来,是秒表最终的输出,有分、秒、和毫秒位;74LS48是BCD码到七段码的显示译码器5控制器:控制电路是对秒表的工作状态(记时开始/暂停)进行控制的单元。
属低电平直接触发的触发器,有直接置位、复位的功能。
2数字秒表总体设计图 1 工作流程图:图图2 原理电路图3电子秒表的工作原理3.1脉冲源电路用555 实现多谐振荡,需要外接电阻R1,R2和电容C。
电路图如下:(a)(b)图3 构成多谐振荡器电路图4 555引脚图3.2分频器电路通常,数字钟的晶体振荡器输出频率较高,为了得到0.1Hz的秒信号输入,需要对振荡器的输出信号进行分频。
须设计一个五进制计数器,对频率为50HZ 的时钟脉冲进行五分频,在输出端QD 取得周期为0.1S 的矩形脉冲,作为时间计数单元的时钟输入。
用集成异步计数器74LS90 实现,电路图如下:(a) (b)图5 74ls90引脚图及构成五进制计数器3.3时间计数单元记数器74160、74ls192、74ls90等都能实现十进制记数,本设计采用二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图三所示,555定时器构成的多谐振荡器作为计数器1的时钟输入。
多功能数字秒表设计

目录1 Protues简介12 多功能数字秒表方案设计与论证33多功能数字秒表总体设计44多功能数字秒表的工作原理44.1脉冲源电路44.2总清零控制电路94.3时间计数单元104.4分频器电路124.5 码驱动及显示单元164.6多功能数字秒表电路的组合175 电路的调试215.1 时钟发生器的测试215.2 计数、译码、显示单元的测试215.3 整体测试225.4 电子秒表准确度的测试236.硬件设计237.课程设计心得248.参考文献26本科生课程设计成绩评定表27初始条件利用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等器件设计实现数字秒表的功能。
用数码管显示时间计数值。
也可以用单片机系统实现要求完成的主要任务: 包括课程设计工作量及其技术要求以及说明书撰写等具体要求1、课程设计工作量1周完成对数字秒表的设计、仿真、装配与调试。
2、技术要求①设计一个能测量8名运动员短跑成绩的数字秒表。
要求用四位数码管显示时间格式为0000s。
②秒表设置9个开关输入清零开关1个和记录开关8个。
按下“记录”开关则将当前计数时间暂存并显示在数码管上。
③确定设计方案按功能模块的划分选择元、器件和中小规模集成电路设计分电路画出总体电路原理图阐述基本原理。
参考文献[1] 伍时和.《数字电子技术基础第1版》.清华大学2009年4月[2] 康华光.《数字电子技术基础第5版》高等教育2006年1月[3] 王建校《51系列单片机及C51程序设计》科学2002.4时间安排1、2011 年6 月27~28 日查阅相关资料学习设计原理。
2、2011 年6 月28~29 日方案选择和电路设计仿真。
3、2011 年6 月30~7月1 日电路调试和设计说明书撰写。
4、2011 年7 月 2 日上交课程设计成果及报告同时进行答辩。
指导教师签名年月日系主任或责任教师签名年月日1 Protues简介Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。
数字电路课程设计报告数字秒表

数字电路课程设计报告——数字秒表一、设计任务与技术指标:设计数字秒表,以实现暂停、清零、存储等功能。
设计精度为0.01秒。
二、设计使用器件:74LS00 多片74163 4片4511 4片NE555 1片二极管1枚LED 共阴极七段译码器 4 个导线、电阻若干三、数字秒表的构成:利用555 设计一个多谐振荡器,其产生的毫秒脉冲触发74LS163计数,计时部分的计数器由0.01s 位、0.1s 位、s 个位、和s 十位共四个计数器组成,最后通过CD4511 译码在数码管上显示输出。
由“启动和停止电路”控制启动和停止秒表。
由“接地”控制四个计数器的清零。
图1 电子秒表的组成框图四、实现功能及功能特点:(1)、在接通电源后秒表显示00:00,当接通计时开关时秒表开始计时。
(2)、清零可在计时条件下也可在暂停条件下进行。
(3)、解决了在使用163清零端和保持端时由于163默认的清零端优先级高于保持端造成的0.01秒位上无法保持到0.09的技术问题。
(4)、增加了数据溢出功能,由于是4位秒表,最多计到一分钟,当秒表到达一分钟时,秒表自动暂停显示在60:00秒处,此时二极管发亮,起警示灯作用。
清零后则可继续计时。
(5)、由于条件有限,我们自己用导线制作了电源、清零、暂停等开关以减少导线的拔插造成的面板的不美观。
下图为完整课程设计的实物图:五、课程设计原理:本课程设计由模6000计数器和其控制电路组成,模6000计数器功能由同步加法计数器74163和与非门74LS00组成。
74163的功能及用法:74163同步加法计数器具有以下功能:(1)、同步清零功能。
当清零端输入低电平,还必须有时钟脉冲CP的上升沿作用才能使各触发器清零,此过程为同步清零。
(2)、同步并行置数功能。
(3)、同步二进制加计数功能。
(4)、保持功能。
综上所述,74163是具有同步清零、同步置数功能的4位二进制同步计数器。
74163的应用:(1)、构成任意模的计数器将74163与少量门电路结合可构成任意模计数器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计课程设计名称:EDA课程设计专业班级电科0901学生姓名:学号: 20094836指导教师:焦素敏课程设计时间: 2012-2-20~2012-3-3电子信息科学与技术专业课程设计任务书说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页1、设计任务及要求设计任务:本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。
在掌握所学的计算机组成与结构课程理论知识时。
通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。
通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。
设计要求:(1)要求设置复位开关。
当按下复位开关时,秒表清零并做好计时准备。
在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。
(2)要求设置启/停开关。
当按下启/停开关后,将启动秒表并开始计时,当再按一下启/停开关时,将终止秒表的计时操作。
(3)要求计时精确度大于0.01秒。
要求设计的计时器能够显示分(2位)、秒(2位)、0.1秒(1位),0.01秒的时间。
(4)要求秒表的最长计时时间为秒表计时长度为59.分59.99秒,超过计时长度,有溢出则报警,计时长度可手动设置。
2、设计原理及总体框图设计原理1.本设计可分为五个主要模块:(1)键输入模块电路(含消抖电路)(2)时钟分频电路模块(3)调整控制电路(主控电路模块)(4)计时电路模块(5)显示控制电路模块(包括溢出报警控制)2.系统的总体设计:各个输入/输出端口的作用如下:①CLK为外部时钟信号,CLR为复位信号。
②QT为启/停开关,用于开始/结束计时操作③MODE为模式选择键,用1个电平信号A进行模式选择④Q是数据扫描显示的公共七段数码显示驱动端,。
它经过外接的译码器译码后接数码管的公共端COM。
⑤SOUND用于控制蜂鸣器发声。
当SOUND=“1”时,扬声器发出蜂鸣声,表示计时超出计时长度(溢出报警)数字秒表系统框图3、程序设计VHDL简介VHDL是一种全方位的硬件描述语言,包括系统行为级。
寄存器传输级和逻辑门多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由下向上的电路设计过程都可以用VHDL来完成。
VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。
VHDL可以用简洁明确的代码描述来进行复杂控制逻辑设计,灵活且方便,而且也便于设计结果的交流、保存和重用。
(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。
(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。
顶层文件原理图如下下面是数字秒表设计过程中所用到的一些程序:一、消抖LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY xiaodou ISPORT(CLK,DIN:IN STD_LOGIC;DOUT:OUT STD_LOGIC);END ENTITY xiaodou;ARCHITECTURE ARC OF xiaodou ISSIGNAL CP:STD_LOGIC;SIGNAL JSQ:INTEGER RANGE 0 TO 3;BEGINPROCESS(CLK)BEGINIF (CLK' EVENT AND CLK= '1' ) THENIF DIN='1' THENIF JSQ=3 THENJSQ<=JSQ;ELSEJSQ<=JSQ+1;END IF;IF JSQ=1 THENCP<='1';ELSECP<='0';END IF;ELSEJSQ<=0;END IF;END IF;DOUT<=CP;END PROCESS;END ARC ;源程序说明1.工作原理本模块描述的防抖动电路属于计数器型防抖动电路。
其工作原理是,设置一个模值为4的控制计数器,在人工按键KEY=‘1’时,执行加1计数;KEY=‘1’时,计数器进入状态0。
计数器只在状态2有输出。
计数器进入状态3,处于保持状态。
总之,按键一次,计数器只有一个单脉冲输出。
2.防抖动原理按键KEY是产生抖动的根源,按照设计,只有按键持续时间大于3个时钟周期,计数器输出才可能产生有效的正跳变,输出一个单脉冲。
由于机械开关抖动产生的毛刺宽度小于3个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以实现。
二、分频器源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY clk_div10 ISPORT(clk :IN STD_LOGIC;clk_out :OUT STD_LOGIC);END clk_div10;ARCHITECTURE rtl OF clk_div10 ISSIGNAL clk_temp :STD_LOGIC;BEGINPROCESS(clk)V ARIABLE counter: INTEGER RANGE 0 TO 9;BEGINIF (clk'EVENT AND clk='1') THENIF (counter = 9) THENCounter := 0;Clk_out <= '1';ELSECounter :=counter +1 ;Clk_out <= '0';END IF;END IF;END PROCESS;END rtl;一个标准时钟信号源1KHZ经分频后获得一个精确的100HZ的脉冲三、主控电路源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY KZQ ISPORT(CLK,CLR,A,B:IN STD_LOGIC;Q,MIN_EN,SEC_EN,TSEC_EN:OUT STD_LOGIC);END KZQ;ARCHITECTURE KZQ_ARC OF KZQ ISBEGINPROCESS(CLK,CLR)V ARIABLE TMP:STD_LOGIC;BEGINIF CLR='0' THEN TMP:='0';ELSIF CLK'EVENT AND CLK='1' THENIF A='1' THENTMP:=NOT TMP;END IF;END IF;Q<=TMP;END PROCESS;PROCESS(CLK,CLR)BEGINIF CLR='0' THENMIN_EN<='0';SEC_EN<='0';TSEC_EN<='0';ELSIF CLK'EVENT AND CLK='1' THENIF B='1' THENMIN_EN<='0';SEC_EN<='1';TSEC_EN<='1';ELSEMIN_EN<='1';SEC_EN<='1';TSEC_EN<='1';END IF;END IF;END PROCESS;END KZQ_ARC;主控电路各端口作用:输入端:(1)CLK为外部时钟信号,CLR为复位信号输入端。
(2)QT为启/停信号输入端。
(3)MODE为计时长度模式选择信号输入端。
输出端:(1)Q:为启/停控制输出信号。
(2)MIN—EN:分钟计时器的异步并行置数使能信号。
(3)SEC—EN:秒计时器的异步并行置数使能信号。
(4)TSEC—EN:0.01秒计数器的异步并行置数使能信号四、用于秒钟计时的CNT60_1的VHDL源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT60_1 ISPORT(CLK,CLR,EN:IN STD_LOGIC;SEC1,SEC0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);CO:OUT STD_LOGIC);END CNT60_1;ARCHITECTURE CNT60_1_ARC OF CNT60_1 ISBEGINPROCESS(CLK,CLR)V ARIABLE CNT0,CNT1:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINIF CLR='0' THENCNT0:="0000";CNT1:="0000";ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF CNT1="0101" and cnt0= "1000"THENCNT0:="1001"; CO<='1';elsif cnt0<"1001" thenCNT0:=CNT0+1;else cnt0:="0000";IF CNT1<"0101" THENcnt1:=cnt1+1;else cnt1:="0000";CO<='0';END IF;END IF;END IF;END IF;SEC1<=CNT1;SEC0<=CNT0;END PROCESS;END CNT60_1_ARC;用于分钟计时的CNT60_2的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT60_2 ISPORT(CLK,CLR,EN:IN STD_LOGIC;MIN1,MIN0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CO:OUT STD_LOGIC);END CNT60_2;ARCHITECTURE CNT60_2_ARC OF CNT60_2 ISBEGINPROCESS(CLK,CLR)VARIABLE CNT0,CNT1:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINIF CLR='0' THENCNT0:="0000";CNT1:="0000";ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF CNT1="0101" and cnt0= "1000"THENCNT0:="1001"; CO<='1';elsif cnt0<"1001" thenCNT0:=CNT0+1;else cnt0:="0000";IF CNT1<"0101" THENcnt1:=cnt1+1;else cnt1:="0000";CO<='0';END IF;END IF;END IF;END IF;MIN1<=CNT1;MIN0<=CNT0;END PROCESS;END CNT60_2_ARC;cnt100:输出值为0.01s和0.1sLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT100 ISPORT(CLR,CLK,EN:IN STD_LOGIC;BAI1,BAI0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);CO:OUT STD_LOGIC);END CNT100;ARCHITECTURE CNT100_ARC OF CNT100 ISBEGINPROCESS(CLK,CLR)V ARIABLE CNT0,CNT1:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINIF CLR='0' THENCNT0:="0000";CNT1:="0000";ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF CNT0="1000" AND CNT1="1001"THENCNT0:="1001";CO<='1';ELSIF CNT0<"1001" THENCNT0:=CNT0+1;ELSE CNT0:="0000";IF CNT1<"1001" THENCNT1:=CNT1+1;ELSECNT1:="0000";CO<='0';END IF;END IF;END IF;END IF;BAI1<=CNT1;BAI0<=CNT0;END PROCESS;END CNT100_ARC;4、编译及仿真本次实验采用Quartus II进行编译和仿真Quartus II是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。