EDA交通灯实验报告
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
EDA交通灯实验报告

EDA实验交通灯控制系统一.源程序代码分析Cb.vhd 二分频模块library ieee;use ieee.std_logic_1164.all;entity cb isport(clk:in std_logic;q:buffer std_logic);end;architecture behave of cb isbeginprocess(clk)beginif clk'event and clk='1' then - -每遇到一个上升沿,q翻转一次,实现分频q<=not q;end if;end process;end behave;ctrl.vhd 状态控制模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ctrl isport(clk,spe:in std_logic;en:out std_logic);end;architecture behave of ctrl istype states is(s3,s2,s1,s0); - - 状态机说明部分,定义了状态机四个状态signal current_state,next_state:states; - - 定义两个现态和次态两个信号,均为四个状态begincom:process(spe,current_state) - -主控组合进程begincase current_state iswhen s0=> en <='0'; - - 状态S0if spe='1' then next_state<=s0;else next_state<=s1;end if;when s1=> en <='1'; - - 状态S1if spe='1' then next_state<=s2;else next_state<=s1;end if;when s2=> en <='1'; - - 状态S2if spe='1' then next_state<=s2;else next_state<=s3;end if;when s3=> en <='0'; - - 状态S3if spe='1' then next_state<=s0;else next_state<=s3;end if;end case;end process;synch: process(clk) - -主控时序进程beginif clk'event and clk='1' thencurrent_state<=next_state;end if;end process;end behave;cout.vhd 倒计时模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count isport(ini,en,clk:in std_logic;ar0,ag0,ay0,al0,br0,bg0,by0,bl0:out std_logic;tah: buffer std_logic_vector(6 downto 4);tal: buffer std_logic_vector(3 downto 0);tbh: buffer std_logic_vector(6 downto 4);tbl: buffer std_logic_vector(3 downto 0));end count;architecture behav of count issignal light: std_logic_vector(7 downto 0);signal ta: std_logic_vector(6 downto 0);signal tb: std_logic_vector(6 downto 0);signal s: std_logic_vector(2 downto 0); - - 把a,b方向红绿黄及左转灯保持时间的十位与个位用高三位与低四位存放constant artimeh : std_logic_vector(6 downto 4):="101";constant artimel : std_logic_vector(3 downto 0):="0101";constant agtimeh : std_logic_vector(6 downto 4):="100";constant agtimel : std_logic_vector(3 downto 0):="0000";constant altimeh : std_logic_vector(6 downto 4):="001";constant altimel : std_logic_vector(3 downto 0):="0101";constant abytimeh : std_logic_vector(6 downto 4):="000";constant abytimel : std_logic_vector(3 downto 0):="0101";constant brtimeh : std_logic_vector(6 downto 4):="110";constant brtimel : std_logic_vector(3 downto 0):="0101";constant bgtimeh : std_logic_vector(6 downto 4):="011";constant bgtimel : std_logic_vector(3 downto 0):="0000";constant bltimeh : std_logic_vector(6 downto 4):="001";constant bltimel : std_logic_vector(3 downto 0):="0101";beginprocess(ini,en,clk,tah,tal,tbh,tbl)beginta <=tah & tal; -- 并置,a方向当前灯保持时间tb <=tbh & tbl; --并置,b方向当前灯保持时间if ini='1' then - - 初始化键按下,则给s, tah, tal, tbh , tbl 赋初值s<="000";tah<="000";tal(3)<='0';tal(2)<='0';tal(1)<='0';tal(0)<='1';tbh<="000";tbl(3)<='0';tbl(2)<='0';tbl(1)<='0';tbl(0)<='1';elsif clk'event and clk='1'then - - 初始化键没按下遇到时钟上升沿if en='1' then - - 如果en按下,时间保持,不会变化tah<=tah; tal<=tal;tbh<=tbh; tbl<=tbl;else - -若en没按下if((ta=1)or(tb=1)) then - - 且ta或tb等于1s<=s+1; - - 状态变化case s IS - - 不同状态把不同灯保持时间赋给tah,tal,tbh,tbl when "000"=> tah<=agtimeh; tal<= agtimel; tbh<=brtimeh;tbl<=brtimel;when "001"=> tah<=abytimeh; tal<= abytimel;when "010"=> tah<=altimeh; tal<= altimel;when "011"=> tah<=abytimeh; tal<= abytimel;when "100"=> tah<=artimeh; tal<= artimel; tbh<=bgtimeh;tbl<=bgtimel;when "101"=> tbh<=abytimeh; tbl<= abytimel;when "110"=> tbh<=bltimeh; tbl<= bltimel;when "111"=> tbh<=abytimeh; tbl<= abytimel;when others=>null;end case;else s<=s; - - 若ta与tb 都不等于1,则s不变,状态不变end if;if ta/=1 then --ta不等于0if tal=0 then - - 且tal等于0tal<="1001"; tah<=tah-1; - - 则tal(个位)赋值9,tah(十位)减1else tal<=tal-1;tah<=tah; - - 若tal不等于0,则tal减1,tah不变end if;end if;if tb/=1 thenif tbl=0 thentbl<="1001"; tbh<=tbh-1;else tbl <=tbl-1;tbh<=tbh;end if;end if;end if;end if;end process;light<="01001000"when s="001" else"00101000"when s="010" else"00011000"when s="011" else"00101000"when s="100" else"10000100"when s="101" else"10000010"when s="110" else"10000001"when s="111" else"10000010"when s="000" else"00000000";ar0<=light(7);ag0<=light(6);ay0<=light(5);al0<=light(4); --对light进行位操作,赋值给中间量br0<=light(3);bg0<=light(2);by0<=light(1);bl0<=light(0);end behav;Itout.vhd 控制输出模块library ieee;use ieee.std_logic_1164.all;entity ltout isport(en,clk:in std_logic;ar0,ag0,ay0,al0:in std_logic;br0,bg0,by0,bl0:in std_logic;ta:in std_logic_vector(6 downto 0);tb:in std_logic_vector(6 downto 0);ar,ag,ay,al:out std_logic;br,bg,by,bl:out std_logic;atime :out std_logic_vector(6 downto 0);btime :out std_logic_vector(6 downto 0));end ltout;architecture behav of ltout isbeginar <=en or ar0; - - 利用倒计时模块中的中间量与紧急通行键结合,决定灯的亮灭ag<=not en and ag0;ay<=not en and ay0;al<=not en and al0;br<=en or br0;bg<=not en and bg0;by<=not en and by0;bl<=not en and bl0;atime <= ta when en='0' else(clk&clk&clk&clk&clk&clk&clk)and ta; btime <= tb when en='0' else(clk&clk&clk&clk&clk&clk&clk)and tb; end behav;jtd.vhd 交通灯顶层模块library ieee;use ieee.std_logic_1164.all;entity jtd isport(ini ,clk,spe:in std_logic;ar,ay,ag,al:out std_logic;br,by,bg,bl:out std_logic;atime :out std_logic_vector(6 downto 0); btime :out std_logic_vector(6 downto 0)); end jtd;architecture rtl of jtd iscomponent ctrl - -元件例化port(clk,spe:in std_logic;en:out std_logic);end component;component cbport(clk:in std_logic;q:buffer std_logic);end component;component countport(ini,en,clk:in std_logic;ar0,ag0,ay0,al0,br0,bg0,by0,bl0:out std_logic; tah:buffer std_logic_vector(6 downto 4); tal:buffer std_logic_vector(3 downto 0); tbh:buffer std_logic_vector(6 downto 4); tbl:buffer std_logic_vector(3 downto 0));end component;component ltoutport(en,clk:in std_logic;ar0,ag0,ay0,al0:in std_logic;br0,bg0,by0,bl0:in std_logic;ta:in std_logic_vector(6 downto 0);tb:in std_logic_vector(6 downto 0);ar,ag,ay,al:out std_logic;br,bg,by,bl:out std_logic;atime :out std_logic_vector(6 downto 0);btime :out std_logic_vector(6 downto 0));end component;signal en_s,q_s:std_logic; - -定义信号,充当中间连线signal ar0_s,ag0_s,ay0_s,al0_s,br0_s,bg0_s,by0_s,bl0_s:std_logic;signal agyl0_s,bgyl0_s:std_logic_vector(2 downto 0);signal tah_s,tbh_s:std_logic_vector(6 downto 4);signal tal_s,tbl_s:std_logic_vector(3 downto 0);signal ta_s,tb_s:std_logic_vector(6 downto 0);signal agyl,bgyl:std_logic_vector(2 downto 0);beginta_s<=tah_s & tal_s; - - signal的并置,与倒计时模块中时间十位与个位的并置类似tb_s<=tbh_s & tbl_s;u0:ctrl port map(clk,spe,en_s); - -各元件接口通过signal连接起来u1:cb port map(clk,q_s);u2:count port map(ini,en_s,q_s,ar0_s,ag0_s,ay0_s,al0_s,br0_s,bg0_s,by0_s,bl0_s,tah_s,tal_s,tbh_s,tbl_s);u3:ltout port map(en_s,q_s,ar0_s,ag0_s,ay0_s,al0_s,br0_s,bg0_s,by0_s,bl0_s,ta_s,tb_s,ar,ag,ay,al,br,bg,by,bl,atime,btime);end rtl;二程序修改要求修改程序,加入a方向的右转灯ari,该灯在b方向绿灯和紧急通行键按下时不亮,其它情况都亮修改思想:在Itout.vhd 模块文件里加入一个ari0信号,且定义为ari0<=not en and (not bg0),即实现没按下紧急通行键和非b方向绿灯的时候,ari0为1,再在顶层文件加入ari,把ari与ari0连接,再分配一个引脚来显示右转灯就可以实现该功能了修改程序:略。
EDA实训报告交通灯

《EDA技术与应用》实训报告学号:姓名:指导老师:实训题目:交通灯控制电路的设计1.系统设计1.1 设计要求设计交通灯控制电路。
1.1.1 设计任务①.借助EDA实训仪实现一个十字路口的交通灯控制系统。
数码管显示时间。
发光二极管显示东西南北方向的红、黄、绿灯情况,其中绿灯亮灯时间总是比同一时间红灯要少4秒,绿灯倒数结束后变黄灯,红灯倒数结束后变绿灯。
②.有些路段车流量较少或者突发紧急情况时,可以通过控制拨动开关调整交通灯控制。
以实现整个电路符合实际交通道路控制要求。
1.1.2 技术要求①.用EDA实训仪上的4只八段数码管分别显示道路东西和南北通行和禁止的倒计时时间。
②.能设置道路东西和南北两侧通行和禁止的倒计时时间,最大设置时间为99秒,最小设置时间为1秒。
③. 交通灯用红、绿、黄三种发光二极管(LED)显示控制的结果。
④. 红、绿、黄灯显示的次序应符合实际交通道路控制的要求。
1.2 方案比较①.老师给的原理图只有一个方向的数码管显示,操作比较简单。
②.实现四个方向和白天黑夜模式的数码管显示,有突发情况还可以使得交通灯倒计时停止在某个时间。
比较上种方案复杂。
1.3 方案论证通过方案①和②的比较,最终选择方案②。
因为东西南北方向都会有红、黄、绿灯的显示,其中绿灯亮灯时间总是比同一时间红灯要少4秒,绿灯倒数结束后变黄灯,红灯倒数结束后变绿灯。
有些路段车流量较少或者突发紧急情况时,可以通过控制拨动开关调整交通灯控制。
更符合十字路口交通灯实际的工作原理。
1.3.1 总体思路fpq分频器,将EDA实训仪主板提供的20MHz的主频经20000000分频后,得到电路所需的1Hz(秒)时钟。
减法器,产生道路东西和南北通行和禁止的倒计时时间。
kzq控制器控制电路,控制整个系统的工作。
控制器接收倒计时的结果,当倒计时归0时,改变电路的控制模式,输出倒计时的初始时间和交通灯亮灭控制信号。
以下为交通灯总体框图。
交通灯工作的四种工作状态:工作状态一:东西——红——倒计时:20→4南北——绿——倒计时:16→0 工作状态二:东西——红——倒计时:3→0南北——黄——倒计时:3→0 工作状态三:东西——绿——倒计时:16→0南北——红——倒计时:20→4 工作状态四:东西——黄——倒计时:3→0南北——红——倒计时:3→0 (以上四种工作状态循环进行)1.3.2 设计方案分频器计数器计数器控制器计数器2.各个模块程序的设计<1>.分频器的设计该模块自带的频率为20000000Hz的一个晶振接入,经过分频器后产生1S的时钟信号,然后由cout脚输出。
交通灯控制器 EDA课程设计实验报告

目录1课程设计要求 (3)2 电路功能描述 (3)3 设计方案 (3)4设计原理图 (4)5 VHDL语言 (4)6仿真截图 (6)7心得体会 (11)8参考文献 (11)1. 课程设计要求1.1.红、黄、绿灯分别控制显示;1.2.每一个状态分别分配一个时间显示(两位十进制,倒计时);1.3.符合实际交通规律。
2.电路功能描述本设计是实现交通灯的控制,模拟实现了红、绿、黄灯指挥交通的功能。
本设计适用东西和南北方向的车流量大致相同的路口,红灯显示时间30S,绿灯显示时间25S,黄灯显示时间5S,同时用数码管指示当前的状态(红、绿、黄灯)的剩余时间。
当有紧急状况发生时,两个方向都禁止通行,并且显示红灯,当紧急状况解除后,重新计时并且指示时间。
3.设计方案根据设计要求,需要控制显示红、黄、绿三个灯的亮灭状态及显示的时间。
这个设计主要由两部分组成,红黄绿灯的显示模块,显示时间模块。
由实际的交通情况可知,东西方向的显示情况是一致的,南北方向的显示情况也是一致,故在设计的时候就只考虑两种状态,将东西方向合成一种,南北方向合成一种。
红黄绿灯的显示模块用两组共6个灯显示,时间显示模块用LED数码管显示。
此外,本交通灯控制器设置的红黄绿显示方式是参照一些城市的显示规律,红灯30S,绿灯25S,黄灯5S,同时用数码管指示当前状(红、绿、黄灯)的剩余时间。
另外还设有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。
时间采用倒计时的方式显示。
本设计采用VHDL语言编程,描述各个硬件模块实现的功能,使红、黄、绿灯的转换有一个准确的转换顺序和时间间隔,并进行仿真,通过仿真的结果,得出实验的结果。
在正常情况下的一个完整周期内,交通灯控制器系统一共有四种状态,分别是东西红、南北绿,东西红、南北黄,东西绿、南北红,东西黄、南北红。
其运行方式为东西红、南北绿→东西红、南北黄→东西绿、南北红→东西黄、南北绿,东西黄、南北绿结束后再回到东西红、南北绿的状态,整个周期持续60s。
EDA实验报告:基于VHDL语言的交通灯控制系统设计与实现

图为k1=0时的输出状态s1,输出恒为011110。即亮灯为R1,y2。保持时间1S。
(k2=0)
上图为k2=0时的输出状态s2,输出恒为101011。即亮灯为G1,R2。保持时间2S。
(k3=0)
上图为k3=0时的输出状态s3,输出恒为110011。即亮灯为R1,G2。保持时间1S。
四、小结及心得体会
else
if counter<5 then
next_state<=s3;
else
next_state<=s0;
end if;
end if;
end if;
end if;
end if;
end case;
end process;
ouput:process(current_state)
begin ——显示程序
begin
u1: jiaotongdeng port map(
clk=>clki,
k0=>k0,
k1=>k1,
k2=>k2,
k3=>k3,
r1=>r1,r2=>r2,g1=>g1,g2=>g2,y1=>y1,y2=>y2
);
u2: div port map(clk=>clk1,clk_out=>clki);
end if;
end if;
end if;
when s3=>
if k0='0' then
next_state<=s0;
else
if k1='0' then
next_state<=s1;
EDA实验交通灯设计报告

EDA原理及应用实验报告题目:交通灯控制器专业:电子信息工程班级:姓名:学号:一、设计题目:交通灯控制器二、设计目标:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
2、红、绿、黄发光二极管作信号灯。
3、主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。
4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。
5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。
三、设计原理:(含系统总的原理图)由两个分频器模块,三个计数器模块及它的选择器,一个扫描数码管模块,和一个红绿灯控制模块连接而成。
RTL状态图四、设计内容:(含状态转换图、软件流程图、说明文字等,每单独模块的图标和VHDL程序;最后为总体程序框图)分频器1LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT(CLK:IN STD_LOGIC;--D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);FOUT:OUT STD_LOGIC);END;ARCHITECTURE one OF DVF ISSIGNAL FULL:STD_LOGIC;BEGINP_REG:PROCESS(CLK)V ARIABLE CNT8:INTEGER RANGE 48000000 DOWNTO 0;BEGINIF CLK'EVENT AND CLK='1' THENIF CNT8=24000000 THENCNT8:=0;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)V ARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN FOUT<='1';ELSE FOUT<='0';END IF;END IF;END PROCESS P_DIV;END;说明:采用的是48M时钟输入,作为后面的时钟信号。
eda

EDA课程设计实验报告交通信号控制器的VHDL的设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。
要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。
支干道主干道图1 路口交通管理示意图A B C D主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯红红绿黄表1 交通信号灯的4种状态设计要求:(1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
二设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2’设计说明(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。
模块说明:系统输入信号:Clk: 由外接信号发生器提供256的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块说明:系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
模块说明:系统输入信号:full: 接收由clk 电路的提供的1hz 的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号: comb_out: 负责红绿灯的状态显示。
西电EDA交通灯报告完整版

交通控制器实验报告目录交通控制器实验报告 (1)序言 (3)一、设计任务及设计要求 (4)二、原理分析及方案设计 (4)三、电路设计与调试 (6)1.分频器的设计 (6)2.控制器的设计 (7)3.倒计时计数器的设计 (14)4.数码管显示器的设计 (17)5.顶层原理图 (20)四、实验仿真波形图 (20)五.心得体会 (21)六.参考文献 (21)序言VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Description Language.翻译成中文就是超高速集成电路硬件描述语言。
因此它的应用主要是应用在数字电路的设计中。
VHDL主要用于描述数字系统的结构,行为,功能和接口VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。
随着基于VHDL的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。
作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。
本程序设计的是交通灯的设计。
采用EDA作为开发工具,VHDL语言为硬件描述语言,quartusII作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。
当然我们每个人都不希望这样。
我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。
本程序设计的是交通灯的设计。
采用EDA作为开发工具,VHDL语言为硬件描述语言,quartusII作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,实现设计目标。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA实验报告题目:交通灯设计学院:电子工程学院专业:电子信息工程*者:*** 14020120007 *师:***EDA实验报告:交通灯设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。
要求:(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)东西主干道上的绿灯时间为25秒,南北支干道的绿灯时间为25秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。
路口示意图如下:图 1 路口交通示意图A B C东西主干道交通灯绿(25秒)黄(5秒)红(30秒)南北支干道交通灯红(30秒)黄(5秒)绿(25秒)设计要求:(1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。
二、设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2、设计说明(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。
模块说明:系统输入信号:Clk: 由外接信号发生器提供50MHz的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块说明:系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
模块说明:系统输入信号:full: 接收由clk电路的提供的1Hz的时钟脉冲信号;tm: 接收计数秒数选择电路状态转换信号;系统输出信号:comb_out: 负责红绿灯的状态显示。
(4)第四模块:时间显示电路本电路负责红绿灯的计数时间的显示。
模块说明:系统输入信号:tl:倒计数值秒数个位变化控制信号;th:倒计数值秒数十位变化控制信号;系统输出信号:led7s1: 负责红绿灯的显示秒数个位。
led7s2:负责红绿灯的显示秒数十位。
三、设计方案图2 交通信号灯控制器的原理框图采用VHDL语言输入的方式实现交通信号灯控制器图3 交通信号灯控制器程序原理框图该程序由7个进程组成,进程P1和P2将CLK信号分频后产生1秒信号,进程P3、P4、P5构成两个带有预置数功能的十进制计数器,其中P4产生允许十位计数器计数的控制信号。
进程P6实现状态转换和产生状态转换的控制信号,进程P7产生次态信号和信号灯输出信号,以及每一个状态的时间值。
四、程序清单:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic ISPORT (clk:in std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(6 downto 0);comb_out:out std_logic_vector(5 downto 0));END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3);SIgnal current_state,next_state:dm;SIGNAL FULL : STD_LOGIC;SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL th:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL TIME :STD_LOGIC_VECTOR(6 DOWNTO 0); BEGINP_REG: PROCESS(CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='1' THENIF CNT8 = "11111111" THENCNT8:="01111111";FULL<='1';ELSE CNT8 := CNT8+1;FULL <= '0';END IF; END IF;END PROCESS P_REG;PROCESS(full)BEGINIF full'EVENT AND full='1' THENIF TIME<"1000011" THENTIME<=TIME+1;ELSe TIME <="0000000";END IF;END IF;END PROCESS;REG:process( full,current_state)BEGINIF full='1' AND full'EVENT THENcurrent_state<=next_state;END IF;END process;COM:process(current_state, time)begincase current_state iswhen s0=>comb_out<="001100";tm<=39-time; if time=39 then next_state<=s1;else next_state<=s0;end if;when s1=>comb_out<="010100";tm<=43-time; if time=43 then next_state<=s2;else next_state<=s1;end if;when s2=>comb_out<="100010";tm<=63-time; if time=63 then next_state<=s3;else next_state<=s2;end if;when s3=>comb_out<="100001";tm<=67-time; if time=67 then next_state<=s0;else next_state<=s3;end if;end case;end process;PROCESS(tm)BEGINIF tm>=30 THEN th<="11";tl<=tm-30;ELSIF tm>=20 THEN th<="10";tl<=tm-20; ELSIF tm>=10 THEN th<="01";tl<=tm-10; ELSE th<="00";tl<=tm;END IF;END PROCESS;process(th,tl)begincase th iswhen"00"=>led7s1<="0111111";when"01"=>led7s1<="0000110";when"10"=>led7s1<="1011011";when"11"=>led7s1<="1001111";when others=>null;end case;case tl iswhen "0000000"=>led7s2<="0111111";when"0000001"=>led7s2<="0000110";when "0000010"=>led7s2<="1011011";when"0000011"=>led7s2<="1001111";when"0000100"=>led7s2<="1100110";when "0000101"=>led7s2<="1101101";when "0000110"=>led7s2<="1111101";when"0000111"=>led7s2<="0000111";when "0001000"=>led7s2<="1111111";when "0001001"=>led7s2<="1101111";when others=>null;end case;end process;end;五、电路及波形图1.波形图图4 仿真波形图2.引脚设置选择的器件为cyclone3系列的EP3C16F484C6芯片,引脚锁定方法如下图所示。
将未使用的管脚设置为三态输入(一定要设置,否则可能会损坏芯片)。
图5 引脚使用图六、硬件测试及说明用实验板上的6个LED作为交通信号灯,设计一个交通信号灯控制器。
1、交通灯从绿变红时,有5秒黄灯亮的间隔时间;2、交通灯红变绿是直接进行的,没有间隔时间;3、红灯时间为30秒。
绿灯时间为25秒。
黄灯时间为5秒。
七、实验心得体会通过这次课程设计,我进一步加深了对数字系统设计的了解。
并进一步熟练了对Quartu sII软件的操作。
在编写程序的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。