江西理工大学应用科学学院电子心率计设计

江西理工大学应用科学学院电子心率计设计
江西理工大学应用科学学院电子心率计设计

江西理工大学应用科学学院

微机控制系统课程设计报告

题目:电子心率计设计

姓名:

学号:

专业班级:电气12X班

指导教师:李振凯

完成时间:2015年6月27日

目录

摘要 (1)

1 绪论 (2)

1.1 设计要求及设计内容 (2)

1.1.1 基本要求 (2)

1.2 此次设计研究的主要内容应解决的问题 (2)

2 设计方案介绍 (3)

2.1 各部分电路介绍 (3)

2.1.1 系统设计框图 (3)

2.1.2 信号采集电路 (3)

2.1.3 信号放大电路 (4)

2.1.4 信号比较电路 (4)

2.1.5 LCD1602显示电路 (5)

2.1.6 键盘电路 (5)

2.2 主要器件介绍 (6)

2.2.1单片机AT89S52 (6)

2.2.2 红外传感器 (7)

2.2.3双运算放大器LM358N (8)

2.2.4 LCD1602显示模块 (9)

3 系统程序设计与仿真 (10)

3.1 程序流程图 (10)

3.1.1 主程序流程图 (10)

3.1.2 中断程序流程图 (11)

3.1.3 定时器T0和T1的中断服务程序 (11)

摘要

随着现代社会,人们对自己的健康越来越关心,因此对各种医疗设备的需要也越来越大。其中心率测量仪是最常见的医疗设备之一,它能应用于医疗、健康、体育以及我们生活中的方方面面,因此一个简单便宜而又有较高精度的心率测量仪是很有市场的。

我们无法通过直接测量来获取人的心率,但是由于人的脉搏是与心跳直接相关的。因此,我们可以通过测量脉搏来间接测量人的心率。我们小组的光电系统课程设计制作的光电心率测量仪是用光电传感器测量经手指尖反射的信号,然后经过滤波放大后送到51单片机进行信号处理并将计算所得到的心率值通过动态扫描的方式显示出来。

关键词:51单片机;光电测量;A/D采样;动态扫描显示;响铃提醒。

1绪论

1.1设计要求及设计内容

1.1.1基本要求

(1)设置启动键,当按下该按键后,电子心率计开始工作。

(2)检测时间为15秒,然后通过数码管显示心跳次数。

(3)设置报警能键,当心跳超过100次/秒时,通过蜂鸣器进行报警。

(4)写出详细的设计报告(含操作说明),给出全部电路和源程序。

1.1.2设计内容

此次设计的主要内容是设计单片机软件,让软件不仅能够测量被测者的心率数,还需要提示被测者的心率数是否处于正常范围,如果不正常应该进行提示。

1.2此次设计研究的主要内容应解决的问题

此次设计应解决的问题有如何保证滤波放大电路冷宫封号低工作在线性区而不使信号因放大而产生变形或者失真。

2设计方案介绍

2.1各部分电路介绍

2.1.1系统设计框图

心率计的总体设计电路框图如图2-1所示,主要包括信号采集电路、放大电路、比较电路和单片机信号处理电路和液晶显示电路。先用红外传感器采集与心跳同频率的信号,当人体组织半透明度的数值较大时,红外发射管Dl发射出的透过人体组织的光强度很弱,光敏三极管无法导通,输出高电平;当人体组织半透明度的数值较小时,红外发射管Dl发射出的透过人体组织的光强度较强,光敏三极管导通,输出低电平。这样就形成了频率与脉搏次数成正比的低频信号,它是近似于正弦的波形。

当脉搏为40次/分时,检测到的频率是0.78Hz,当脉搏为40次/分时,检测到的频率是3.33Hz,从传感器过来的是低频信号。该低频信号首先经RC振荡器滤波以消除高频干扰,经无极性隔直流电容C3、C5加到线性放大器的输入端。运算放大器将此信号放大100倍,并与R3、R4、C6组成的低通T型滤波器滤除残留的干扰。正弦信号经微分形成尖脉冲信号,单稳态振荡电路将尖脉冲信号转化为同频率的长脉冲信号,该脉冲信号通过R12送到单片机后,经过软件对信号的处理,最后在以数值形式显示在液晶上。

图 2-1 系统设计原理框图

2.1.2信号采集电路

信号采集电路如图2-2所示。5MM红外对管D1与D3组成红外传感器。因红外传感器输出的脉冲信号是非常微弱的信号,而且频率很低(如脉搏50次/分钟为0.78Hz,200次/分钟为3.33Hz),并且还伴有各种噪声干扰,故该信号要经过R7、C5低通滤波,去除高频干扰。当传感器检测到较强的干扰噪音时,其输出端的直流电压信号会有很大变化。

图2-2 信号采集电路

2.1.3信号放大电路

如图2-3所示,R3与R8的电阻之比为放大器的放大倍数,经过计算所得该放大器的理论值为200倍,但由于8号接口上5V供压不足再加上材料限制和人为的因素,该放大倍数只有20倍左右。

图中C7为耦合电容,作用为隔直流通交流,之所以使用1uF的电容,是为了让所有的信号通过。信号放大电路仿真图如图3-4所示。

图 2-3 信号放大电路

2.1.4信号比较电路

电压比较器是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F 变换电路、A/D 变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。我们主要介绍其基本概念、工作原理及典型工作电路,电压比较器是对两个模拟电压比较其大小并判断出其中哪一个电压高,接到的信号电压小于该值时显示0V,当大于该值时显示5V,这就形成了0和5V的方波。如图2-4 所示。

图2-4 电压比较器

2.1.5LCD1602显示电路

LCD1602与单片机接口电路如下:

2.1.6键盘电路

因为I/O口足够用,键盘设计采用线性键盘。三个引脚通过按键接地。有程序控制扫描。3个按键分别接到CPU的P30、P31、P32上,正常心率范围的设置,以便在超出限制时报警提示。

图 3-5键盘电路

2.2主要器件介绍

2.2.1单片机AT89S52

(1)主要性能:

·8KB可改编程序Flash存储器(可经受1000次的写入/擦除周期)

·全静态工作:0Hz~24MHz

·三级程序存储器保密

·128×8字节内部RAM

·32条可编程I/O线

·2个16位定时器/计数器

·6个中断源

·可编程串行通道

·片内时钟振荡器

(2)功能特性描述:

AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、有效的解决方案。

AT89S52具有以下标准功能: 8k字节Flash,256字节RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至0Hz

静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。AT89S52的引脚结构如图3-1所示。

图3-1 AT89S52的引脚结构

2.2.2红外传感器

红外技术是在最近几十年中发展起来的一门新兴技术。它在科技,国防,和工农业生产等领域得到广泛的应用,特别是在科学研究、军事工程和医学方面起着极其重要的作用。例如在红外制导火箭、红外成像、红外遥感等。而红外辐射技术的重要工具就红外传感器,红外传感器已经在现代化的生产实践中发挥着它的巨大作用。尤其是在实现远距离温度监测与控制方面,红外温度传感器以其优异的性能,满足了多方面的要求,因而在产品传感器大显身手的地方。因此红外传感器的发展前景也是不可估量的。本设计的心率计的传感器采用一对5MM的红外对管外辅少许电路所组成的红外传感器,红外对管是红外线发射管与光敏接收管,或者红外线接收管,或者红外线接收头配合在一起使用时候的总称。

红外线发射管在LED封装行业中主要有三个常用的波段,如下850NM、875NM、940NM。根据波长的特性运用的产品也有很大的差异,850NM波长的主要用于红外线监控设备,875NM主要用于医疗设备,940NM波段的主要用于红外线控制设备。EG:红外线遥控器、光电开关、光电记数设备等。

光敏接收管是一个具有光敏特征的PN结,属于光敏三极管,具有单向导电性,因此工作时需加上反向电压。无光照时,有很小的饱和反向漏电流(暗电流)。此时光敏管不导通。当光照时,饱和反向漏电流马上增加,形成光电流,在一定的

范围内它随入射光强度的变化而增大。

2.2.3双运算放大器LM358N

LM358 内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有使用运算放大器的可用单电源供电的场合。

特性:

●低输入偏流

●内部频率补偿

●直流电压增益高(约100dB)

●单位增益频带宽(约1MHz)

●电源电压范围宽:单电源(3

—30V);双电源(±1.5 一±15V)

●低功耗电流,适合于电池供电

●低输入失调电压和失调电流

●共模输入电压范围宽,包括接地

●差模输入电压范围宽,等于电源电压范围

●输出电压摆幅大(0 至Vcc-1.5V)

LM358的引脚结构如图3-2所示。

图3-2 LM358的引脚结构

2.2.4 LCD1602显示模块

1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。

管脚功能

1602采用标准的16脚接口,其中:

第1脚:VSS为电源地

第2脚:VCC接5V电源正极

第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。

第4脚:RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。

第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。

第6脚:E(或EN)端为使能(enable)端,高电平(1)时读取信息,负跳变时执行指令。

第7~14脚:D0~D7为8位双向数据端。

第15~16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。

3系统程序设计与仿真

3.1程序流程图

3.1.1 主程序流程图

主程序流程图如图3-1所示。程序初始化是单片机程序必需的,它的主要任务是确定中断入口地址和程序入口。然后显示全零,目的是为了区分是否有信号送入,当没有信号送入时,显示全零,则说明心率计没有正常工作,反之,则正常工作。

设计中比较重要的一部分是定时l00ms,它主要是为采样6s建立基础。系统运用定时器T1定时来实现100ms的定时,中断等待占用程序执行的绝大部分时间,是一个死循环语句,只有当中断满足条件时,才执行中断服务子程序,进行累加计数的结果,累加之后,判断采样的次数,如果采样未满60次,说明不到6 s,返回继续采样、等待中断,直到采样60次为止,之后把6s内采样得到的次数由二进制数转化为十进制数,送到液晶进行显示。

图 3-1 主程序流程图

3.1.2中断程序流程图

心率的有效测量范围为40次—120次/分钟,为了消除外界信号的干扰,在定时器中断程序中加入了对频率大小的判断,滤除掉小于40次/分钟和大于120次/分钟的脉冲信号,中断程序如图4-2所示。

图 3-2中断程序流程图

3.1.3定时器T0和T1的中断服务程序

定时器T0的中断流程图如图3-3所示,定时器T1的中断服务流程图如图3-4所示。

图 3-3 定时器T0的中断 图 3-4 定时器T1的中断 服务程序流程图 服务程序流程图

3.2电路总图

电路设计总图:

3.3系统仿真

系统仿真图:

总结

心率指心脏每分钟搏动的次数,它能够反映心脏的工作状态。而心率计是常用的医学检查设备,实时准确的心率测量在病人监控临床治疗及体育竞赛等方面都有着广泛的应用。

但在现实生活中,这种仪器可以直接测量心率不是很普遍,在许多小医院中,医生仍使用古老的手动式听诊器,大大影响了效率和治疗时间。这为心率计的研究和生产奠定了必要的基础。

这篇文章是基于单片机的心率计设计,现在有一些市场研究和设计为基础的FPGA设计的心率,无论是原理和过程基本上是一样的!心率是由身体微弱的传感器信号接收的原则,因为人体的信号弱,一般放大系数要求高,超过100倍。

心率计的硬件设计,包括微控制器的系统及显示电路、信号采集和信号放大和滤波电路三部分组成。单片机采用AT89S52或其兼容系列。具有精度高12MHz 晶体振荡器以获得更稳定的时钟频率,减少了测量误差。单片机的P1.0的输出端口40kHz的超声波换能器所需的方波信号,外部中断0来监测从红外传感器输出信号采集电路的信号。显示电路采用简单实用的液晶显示器,信号用LM358芯片放大。

基于单片机心率计的软件设计流程由主程序,中断子程序流程图和显示子程序组成。据我所知C语言程序有利于实现更复杂的算法,汇编语言程序则具有较高的效率且容易精细计算程序运行的时间,而心率计的程序既有较复杂的计算(时间t内的平均值),又要求精细计算程序运行时间(动脉搏动时间),所以控制程序可以混合C和汇编语言编程的。

致谢

首先,我要感谢我的指导老师在设计中给予我悉心指导和严格要求,正是在李老师您的细心指导和关注之下,我顺利完成了课程设计。

接着我要感谢学校和学院给了我们这么一次展示自己能力的机会,大学即将结束,在踏入社会前,学校为我们安排这么一次毕业度上有助于提高我的学业水平和实践能力。

最后,我要感谢和我一起做毕业设计的同学。在短短一个月的课程设计,你们给了我许多宝贵的意见和很大的帮助,没有你们我很难完成课程设计,真诚的感谢你们。

同时,我还要感谢我的室友和身边的朋友,他们给了我许多支持,在和他们的相互帮助和启发中,才有我今天的小小收获。

还有许许多多给予我学业上鼓励和帮助的朋友,在此也一并表示衷心地感谢!谢谢大家!

参考文献

[1] 陈文彬, 王友赤. 诊断学[M]. 人民卫生出版社, 2002.45-90.

[2] 王瑞元, 孙学川, 熊开宇. 运动生理学[M]. 人民体育出版社, 2002.76-81.

[3]姜元恩,邢武;基于脉搏波速度测量的动脉硬化检测系统的设计与实现[J].自动化与仪器仪表,2007.8.12(5).

[4]姜元恩,邢武:半导体应变片式脉搏传感器在动躲硬纯检测系统中酶应用[Z].安徽省传感器技术与产业化发展论坛,2007.10.

[5]朱彤、李婉媚.脉搏波传导速度测定的新认识[J].医疗保健器具,2006.(8).

[6]罗志昌、张松、杨文鸣.脉搏波波形特征信息的研究[N].北京工业大学学报.1996.1.10(11).

[7]赵玉霞等.桡动脉脉图在心血管血流动力学定量监测中的价值[N].山东医科大学学

报.1994.1.20(1).

[8]陈春晓等.无仓Ⅱ,血管功能测试诊断仪的研究[J].生物医学工程学杂志,2003.1.15(33).

[9][日].西山一郎,兆十编著. 耿連发,潘维林译.自律型机器人制作[J].科学出版社OHM 社2002.3.8-102.

[10] [德] WOLFGANG KLOSTERHALFEN University ofDusseldorf, 4000 Dusseldorf, Federal Republic of Germany. A computer-controlled cardiotachometer1 980, Vol. 12 (1), 58-62.

[11]K.D.TAYLOR.Technicalnote--(Keywords---Cardiotachometer,Pulsegenerator)Preci sion cardiotachometer calibrator .Med. & Biol. Eng. & Comput.1977. 15.476-478.

附录附录一:原件清单

附录二:硬件原理图

附录三:源程序

#include

#include

#define uint unsigned int

#define uchar unsigned char

#define ulong unsigned long

#define LCD_DATA P0

sbit LCD_RS =P2^5;

sbit LCD_RW =P2^6;

sbit LCD_E =P2^7;

sbit Xintiao =P1^0 ;

sbit speaker =P2^4;

void delay5ms(void); //误差0us

void LCD_WriteData(uchar LCD_1602_DATA); /********LCD1602数据写入***********/ void LCD_WriteCom(uchar LCD_1602_COM); /********LCD1602命令写入***********/

void lcd_1602_word(uchar Adress_Com,uchar Num_Adat,uchar *Adress_Data); /*1602字符显示函数,变量依次为字符显示首地址,显示字符长度,所显示的字符*/

void InitLcd();

void Tim_Init();

uchar Xintiao_Change=0;

uint Xintiao_Jishu;

uchar stop;

uchar View_Data[3];

uchar View_L[3];

uchar View_H[3];

uchar Xintiao_H=100;

uchar Xintiao_L=40;

uchar Key_Change;

uchar Key_Value;

uchar View_Con;

uchar View_Change;

void main()

{

InitLcd();

Tim_Init();

lcd_1602_word(0x80,16,"Heart Rate: "); TR0=1;

TR1=1;

while(1)

{

if(Key_Change)

{

Key_Change=0;

View_Change=1;

switch(Key_Value)

{

case 1:

{

View_Con++;

if(View_Con==3)

View_Con=0;

break;

}

case 2:

{

if(View_Con==2)

{

if(Xintiao_H<150)

Xintiao_H++;

}

基于单片机的电子脉搏计的设计

基于单片机的电子脉搏计的设计

毕业设计论文 基于单片机的电子脉搏计的设计

人们在日常生活或是医学上常常是通过测量脉搏跳动的力度和频率来检测身体的健康状况,而普通的方法是用手按在人腕部的动脉上,根据脉搏的跳动进行计数,这样不仅测量时间长而且精度不高,为了节省测量时间,一般不采用长时间测量,而是几秒钟之内测出脉搏数。本文介绍一种基于STC89C52单片机的电子脉搏计,通过测量腕部动脉的压力,把压力转变为电信号,送入单片机,可以在3秒钟之内精确测量出每分钟脉搏数,测量结果用三位LED数码管显示,并且脉搏波形通过串口送入PC机,实时显示脉搏波形。 脉搏计是最常用的医疗检查设备之一,实时准确的脉搏测量在日常生活、患者监控、临床治疗及体育运动等方面都有着广泛的应用。脉搏测量包括瞬时脉搏测量和平均脉搏测量。瞬时脉搏可以反映心率的快慢,同时能反映心率是否匀齐;平均脉搏测量虽然只能反映心率的快慢,但记录方便。本文设计的电子脉搏计可以把这两个参数在测量时都记录下来并且显示,瞬时测量结果通过PC机实时显示,平均脉搏测量结果通过LED七段数码管显示。 关键词:STC89C52 单片机脉搏串口 Based on SCM electronic pulse plan design

People in daily life or medicine is often measured by the pulse frequency and strength to detect the health status of body by hand, and ordinary people by the arteries in the wrist, according to a pulse count, thus not only beat measuring time long and accuracy is not high, in order to save the measuring time, generally does not use the long time measurement, but a few seconds pulse count. Measured This paper introduces a STC89C52 MCU based on the electronic pulse plan, by measuring the pressure, the wrist artery pressure into electrical signals, into a single-chip microcomputer, can accurate measurement in three seconds per minute, a pulse with measurement results number three LED digital display, and pulse tube through a serial port into PCS, real-time display pulse waveform. Pulse meter is one of the most commonly used in the medical examination device, real-time accurate measurement of the pulse of daily life, patient monitoring, clinical treatment and other aspects of sports have a wide range of applications. Pulse measurements include transient pulse measurement and the average pulse measurement. Instantaneous heart rate may reflect the speed of the pulse, while heart rate can reflect whether the uniform homogeneous; average heart rate, pulse measurement can only reflect the speed though, but the record of convenience. This design of elec- tronic pulse meter to measure these two parameters are recorded and displayed the time, instantaneous measurements in real time through the PC, shows that the average pulse measurements by seven segment LED digital display. Keywords: STC89C52; microcontroller; pulse; the serial interface

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

数电课设报告电子脉搏计设计说明

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11)

第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门再放大方面的应用,选择了方案一。

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

(最新版)基于单片机的脉搏测量仪的设计开题报告毕业论文

本科毕业设计 ( 论文) 开题报告 题目: 基于单片机的脉搏测量仪 的设计 课 题 类 型:设计丁实验研究□论文口 学 生 姓 名: 学 号: 专 业 班 级: 学 院: 信息工程学院 指 导 教 师: 开 题 时 间 年月日 开题报告内容与要求 一、毕业设计(论文)内容及研究意义(价值) 随着科技发展的不断提高, 生命科学和信息科学的结合越来越紧密, 出现了各种新 颖 的脉搏测量仪器,特别是电子脉搏仪的出现,使脉搏测量变得非常方便。 脉诊在我 国已具有

2600 多年临床实践,是我国传统中医的精髓,但祖国传统医学采用“望、闻、问、切”的手段进行病情诊断,受人为的影响因素较大,测量精度不高。科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确的测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。 脉搏测量仪的设计,必须是通过采集人体脉搏变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏变化,最后要得出每分钟的脉搏次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏次数。在硬件设计中一般的物理信号就是电压变化。本系统的组成包括传感器、信号处理、单片机电路、显示电路、键盘输入等部分。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 随着科学技术的发展,脉搏测量技术也越来越先进,对脉搏的测量精度也越来越高,国内外先后研制了不同类型的脉搏测量仪,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。而其中关键是对脉搏传感器的研究。起初用于体育测量的脉搏测试集中在对接触式传感器的研究,利用此类传感器所研制的指脉、耳脉等测量仪各有其优缺点。指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降:耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。过去在医院临床监护和日常中老年保健中出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量,但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。 脉搏测量仪的发展主要向以下几个趋势发展: (1)自动测量脉搏并且对所得到的脉搏进行自动分析。目前很多脉搏测量仪都具有检测

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数字电路课程设计红外线心率计

数字电子技术课程设计报告指导老师:严国红、夏海霞 姓名: 学号: 班级:

1产品简介 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算 出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2红外线心率计工作原理 2.1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 图1红外线心率计的原理框图 2.2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、和-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如 图 3 (b)所示。IC2、IC3、IC4都为LM741。 + 12V

图5信号放大、整形电路 因为传感器送来的信号幅度只有2?5毫伏,要放大到10V左右才能作为计数器的输入脉 冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形是跟输入波形同相、且放大了的波形。放大后的波形是一个交流信号。 其中A i、A的供电方式是正负电源供电,电源为+12V、-10V。 A i、A与周围元件组成二级放大电路,放大倍数A uf为: R 4 R8 A uf 4一= 66 66 4000 R 3 R 6 由于放大后的波形是一个交流信号,而计数器需要的是单方向的直流脉冲信号。所以经 过V s检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC两阶滤波电路,滤波电路 的作用是滤除放大后的干扰信号。R、V4组成传感器工作指示电路,当传感器接收到心跳信号 时,V4就会按心跳的强度而改变亮度,因此V4正常工作时是按心跳的频率闪烁。直流脉冲信 号滤波后送入A s的同相输入端,反相输入端接一个固定的电平,A s是作为一个电压比较器来 工作的,是单电源供电。当A的3脚电压高于2脚电压的时候,6脚输出高电平;当A的3 脚电压低于2脚电压的时候,6脚输出低电平,所以A s输出一个反应心跳频率的方波信号。 ⑷门控电路 555定时器是一种将模拟电路和数字电路集成于一体的电子器件,用它可以构成单稳态 触发器、多谐振荡器和施密特触发器等多种电路。555定时器在工业控制、定时、检测、报 警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS触发器FF、比较器COMP COMP和场效应管V1组成(参见图6(a))。当555内部的COMP反相输入端(-)的输入信号V R小于其同相输入端(+)的比较电压V CO(VCO二"IV DD)时,COMP俞出高电位,置触发器FF为低电平,即Q=0;当COMP同相输入端(+)的输入信号V S大于其反相输入端(-)的比较电压VCd2(1/3V DD)时,COMP俞出高电位,置触发器FF为高电平,即Q=1。R D是直接复位端,R D=0 , Q=0 MOSf V是单稳态等定时电路时,供定时电容C对地放电

基于单片机的心率计设计论文

基于单片机的心率计设计 摘要 心率是指单位时间心脏搏动的次数,包含了许多重要的生理、病理信息,特别是与心脑血管相关的信息,是生物医学检测中一个重要的生理指标,也是临床常规诊断的生理指标;因此迅速准确地测量心率便显得尤为重要。随着医疗水平和人们生活水平的提高,快速、准确、便携式心率计便成为一种新的发展趋势,同时伴随着单片机技术的发展,基于单片机的便携式心率计便不失为一个好的选择。 本心率计共有三大部分,分别为:传感器部分、信号处理部分、单片机控制部分。传感器部分采用光电式传感器实现对信号采集;信号处理部分则采用放大、滤波、波形变换等方法实现信号的有效处理;而单片机部分则实现对心率的计数和显示功能。通过这三部分的有效组合初步实现对人体心率的一个有效计数。 信号采集采用光电式传感器通过对手指末端透光度的监测,实现信号的采集;信号放大则采用四运放运算放大器LM324,波形变换采用555定时器构成反向施密特触发器;单片机控制模块则采用AT89C51微处理器和相关元器件通过C语言编程实现计数和显示功能。 关键词:心率,光电式传感器,信号处理,AT89C51

DESIGN OF HEART RATE METER BASED ON MCU ABSTRACT Heart rate is refering to the number in unit time of the heart beating, contains many important physiological and pathological information, especially information associated with cardiovascular, biomedical detection an important physiological indexes, and routine clinical diagnosis of physiological indexes; so quickly and accurately measuring heart rate appears to be particularly important. With the improvement of medical level and people's living standards, rapid, accurate and portable heart rate meter has become a new trend, accompanied by the development of SCM technology, will not be regarded as a good choice of meter based on microcontroller portable heart rate. Heart rate meter consists of three parts, respectively: sensor part, signal processing part, MCU control part. Part of the sensor using photoelectric sensor achieved the signal of the signal acquisition; signal processing part uses the amplification, filtering, waveform transform method to effectively deal with; and part of SCM is to achieve counting on heart rate and display function. Through the effective combination of these three parts, an effective count of human heart rate is realized.. Signals were collected using photoelectric sensor through the monitoring of the degree of light at the end of a finger, to realize the signal acquisition; signal amplification four operational amplifier LM324 operational amplifier is used, the waveform transform the 555 timer constitute reverse Schmitt trigger; MCU control module is used AT89C51 microprocessor and related components by C language programming counting and display function.

心率计设计

附重庆大学本科学生课程设计任务书

电子心率计设计说明书 一前言 随着社会的发展与进步,我们的生活节奏也越来越快,面对每天繁忙的工作生活,我们不一定能像以前那样定期抽出时间去为自己身体做一次体检。而事实上我们身体承受的负荷却越来越大,相比于以前我们需要给自己的身体以更多的关注,甚至是时刻了解它的健康状况。身体的健康与否在很多方面都会有所体现。比如一个人的心率值就基本能反映一个人心脏是否正常工作的。大家都知道心脏是我们人体中最重要的器官之一,使我们生命的源动力。所以我们能时刻了解它的状态是很重要的。由于我们平时不一定总是能抽出时间去做体检,所以我们需要一个简单的,便于操作的,可靠性高的仪器来帮助我们在短时间内测到我们的心率值。让我们能及时了解到我们现在心脏以及身体的状态。 附心率的生理意义 人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张

相关文档
最新文档