数控分频器的设计

合集下载

EDA数控分频器的设计报告

EDA数控分频器的设计报告

数控分频器的设计1、实验目的:学习数控分频器的设计、分析和测试方法。

2、实验原理:数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例下文所示。

1) VHDL及语句分析LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF IS --定义实体DVFPORT ( CLK : IN STD_LOGIC; --时钟输入D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --7位预置数FOUT : OUT STD_LOGIC ); --输出的频率END DVF;ARCHITECTURE one OF DVF IS --定义结构体oneSIGNAL FULL : STD_LOGIC; --定义信号fullBEGINP_REG: PROCESS(CLK) --进程P_REG中CNT8从预置数D开始逐步累加到255后,FULL置1;再将CNT8置为D,循环以获得一个新的周期脉冲序列FULL,即产生新的频率V ARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK = '1' THENIF CNT8 = "11111111" THENCNT8 := D;FULL<='1';ELSE CNT8 := CNT8 + 1;FULL <= '0';END IF;END IF;END PROCESS P_REG ;P_DIV: PROCESS(FULL) --进程P_DIV中,FOUT是占空比为50%的周期信号。

基于VHDL数控分频器设计

基于VHDL数控分频器设计

目录一、设计任务与要求 (3)二、总体框图 (3)三、选择器件 (4)四、功能 (5)1、数控分频器 (5)2、BCD译码器 (6)3、扫描器 (11)4、3-8译码器 (13)5、7段数码管显示译码器 (16)五、总体设计电路图 (19)1总体(顶层)电路原理图 (19)2仿真结果 (19)3管脚分配图 (20)4.硬件连接情况 (20)六.心得体会 (20)数控分频器设计一、设计任务与要求数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,以实现所需的输出频率。

基本功能:1、实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。

如输入10010000,即对输入的时钟信号进行144分频,如输入01001000,即对输入的时钟信号进行72 分频。

2、输出的波形应为占空比位50%的方波。

3、有显示功能,显示当前的分频比,即,输入的二进制数的大小。

总体框图设计思路:数控分频器用计数值可并行预置的加法器设计完成,当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比。

把输入端输入的八位二进制数直接通过BCD译码器转换为十位BCD码表示的十进制数,通过扫描器对3个数码管进行选通扫描,最后7段数码管显示译码器对选中数码管的赋值进行译码,并驱动数码管显示该数据。

模块的功能:1、数控分频器:实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。

如输入10010000,即对输入的时钟信号进行144分频。

2、BCD译码器:把输入端的8位2进制数转换成10位BCD码表示的十进制数。

3、扫描器:when “000”=>daout<=dout(3 downto 0);when “001”=>daout<=dout(7 downto 4);when “010”=>daout<=dout(3 downto 2)<="00";daout(1 downto 0)<=dout(9 downto 8);when others=>null;4、3-8译码器:当sel=0时,q=11111110;选择个位数码管亮。

实验报告模板:实验三 数控分频器设计

实验报告模板:实验三 数控分频器设计

班级:学号:姓名:实验三数控分频器设计【实验目的】1.设计实现一个根据不同的输入,将时钟信号进行分频2.掌握分频计数器类型模块的Verilog描述方法;3.学习设计仿真工具的使用方法;4.学习层次化设计方法;【实验内容】1.用Verilog 语言设计带计数允许和复位输入的数控分频器。

2.编制仿真测试文件,并进行功能仿真。

3.下载并验证分频器功能【实验原理】分频就是根据输入的数字,对一段时钟周期进行分频,通过分频可以更清楚地看到输入与输出之间的关系,从而了解程序。

当用户设置好输入变量时,输出也就随之的改变。

【程序源代码】(加注释)module CONTROL(clk,din,Q,fout,pfull); //分频器的主模块input[7:0]din; //输入为七位的网线型变量input clk;output fout,pfull;output[7:0]Q;reg[7:0]Q; //中间变量,Q为计数值reg fout,full,pfull; //中间变量always@(posedge clk) //次过程块完成中间变量Q的赋值与full的赋值beginif(Q==din)beginQ=0;full=1; //如果Q=d,则Q重新置零,full等于1,表示以计满一次endelse beginQ=Q+1;full=0;endpfull=full; //对pfull赋值endalways@(posedge pfull) // 此块语句完成对fout的赋值,以pfull为时钟周期fout=~fout;endmodule【仿真和测试结果】班级:学号:姓名:根据此图可以看出,此电路为时序电路,CLK为时钟脉冲,周期为1s,d为输入,fout为输出,当计数满足输入的数值时,取反一次,即高低电平变换一次;pfull相当于进位脉冲,当输入满足输出时,就产生一个进位,而pfull持续一个周期就变换为低电平。

【实验心得和体会】通过本次实验,进一步熟悉并加深了对Verilog语言的认识,初步运用并熟悉了整个程序及操作,加深对数控分配器的理解。

实验三 数控分频器设计

实验三 数控分频器设计

实验三数控分频器设计【实验目的】1.设计实现一个根据不同的输入,将时钟信号进行分频2.掌握分频计数器类型模块的Verilog描述方法;3.学习设计仿真工具的使用方法;4.学习层次化设计方法;【实验内容】1.用Verilog 语言设计带计数允许和复位输入的数控分频器。

2. 编制仿真测试文件,并进行功能仿真。

3. 下载并验证分频器功能【实验原理】数控分频器的功能是在输入端给定不同数据时,将对输入的时钟信号有不同的分频比,对于一个N分频器,分频出的时钟周期是原时钟周期的N倍,频率变为原来的1/N。

对于一个8位计数器,如果输入数D,然后启动计数器工作,则经过D倍的时钟周期,计数器溢出时,输出pfull变为高电平,再以pfull为敏感信号,对fout进行取反操作,如此N=2D。

计数一次后,再重新计数,反复进行直至输入被赋予新值。

对于数控分频数器,装载不同的计数初始值时,会有不同频率的溢出信号,从而得到不同的输出。

数控分频器是利用计数值可并行预置的加法计数器设计完成的。

【程序源代码】(加注释)module FDIV(clk,fdiv,fout,pfull); //8位二进制的数控分频计input clk; //时钟信号input [7:0] fdiv; //8位加载数据output pfull; //定义一个1位的寄存器型中间控制变量output fout; //分频数据输出reg [7:0] Q; reg fout,full,pfull;always @(posedge clk) begin //clk有上升沿时,启动以下程序if(Q==fdiv) begin Q=0;full=1;end //若Q==fdiv,Q=0,full=1else begin Q=Q+1;full=0;end //若Q!=fdiv,Q累加1,full=0pfull=full;end //将full数据向pfull输出always @(posedge pfull)fout=~fout; //当pfull有上升沿时,对fout取反endmodule【RLT电路】【仿真和测试结果】功能分析:当输入fdiv为预置数“5”时,经过5倍的clk周期,pfull由低电平变为高电平;当pfull有上升沿时,对fout取反fout,因此fout的周期是clk的10倍,即完成对clk的10分频功能。

实验07数控分频器

实验07数控分频器

实验七数控分频器一. 实验目的1. 学习数控分频器的设计、分析和测试方法;2. 了解蜂鸣器的发声原理二. 准备知识我们知道,分频器与计数器是基于同一原理的。

我们如果设计N进制的计数器,即其模为N,一般情况下,我们使用计数器都是从0计数到N-1,输出进位,然后复位或清零,以后一次循环。

其计数结果就是0~N-1,共N种变化,进位就是一个N次的分频器。

同样,计数结果从1~N,或从2~N+1……在最大计数值时输出进位,其进位同样也是N次分频,为了方便和其它考量,一般在这种设计时,都是把计数器的最大计数设为计数器计满时的最大值,即如果是8位的N进制计数器,计数范围为0xFF+1-N~0xFF,0xFF就是由计数器位数决定的最大计数值。

二者的区别在于:0~N-1计数方式是同一起点计数,0xFF+1-N~0xFF计数方式是同一终点计数。

在使用可变分频器的设计中,往往采用第二种方法,原因是其分频频率比较“干净”。

蜂鸣器从控制电路上分为有源和无源,有源蜂鸣器直接接上其额定电源(1.5~15V直流工作电压,和蜂鸣器本身有关),多谐振荡器起振,输出1.5~2.5kHZ的音频信号,就可连续发声;而无源蜂鸣器则和电磁扬声器一样,需要接在音频输出电路中才能发声,即对蜂鸣器加的电压或通过的电流要是在300Hz~几KHz(听觉范围内)的方波信号,从能量的角度来说,这个方波信号最好是占空比为1:1的,这时其发声强度最大。

实验箱上的蜂鸣器是无源蜂鸣器,本实验使用按键控制分频器的输出频率,其进位输出结果接到蜂鸣器,在听觉范围内的频率,可以直观的分辨数控分频器的变化。

三. 实验步骤1、新建工程dvf新建工程文件夹shiyan7,在该文件夹中新建工程dvf。

2、新建原理图文件dvf编写模为可变参数、位宽为可变参数的通用计数分频器模块Int_Div,并封装。

(参考程序清单),要仔细看懂程序。

新建HDL数控分频器文件pluse,其进位输出经T触发器,送入蜂鸣器。

数控分频器的VerilogHDL设计

数控分频器的VerilogHDL设计

附表1:
广州大学学生实验报告
开课学院及实验室:物理与电子工程学院-电子楼317室2016年 5 月 5 日
if (!RST) begin Q1<=0; FULL<=0; end
else if (LD) begin Q1<=D; FULL<=1; end
else begin Q1<=Q1+1; FULL <=0; end
assign LD=(Q1==4'B0000);
assign PM=FULL;
assign DOUT=Q1;
endmodule
四、仿真结果:
由波形图可见,当RST为低电平时,LD置位,装载预置数5(0101);当计满值为0000(图中DOUT:1111后的一小段),LD置位并输出一次脉冲PM,然后加载预置数,继续计数。

五、引脚锁定:
六、硬件测试结果:
下载程序到目标机
注:键4-键1为设置预置数
七、实验心得:
通过本次实验,使我明白了数控分频器的工作原理,并通过蜂鸣器直观地对比了不同的分频效果。

巩固了理论知识和实验流程,提高了效率,为后续实验打下良好的基础。

项目名称”栏以上部分统一。

实验四 数控分频器的设计

实验四 数控分频器的设计

实验四、数控分频器的设计
(1)实验目的:学习数控分频器的设计、分析和Modelsim仿真方法。

(2)实验原理:数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,参考代码中的数控分频器是用可并行预置的加法计数器设计完成的,当加法计数器溢出时进行并行预置。

(3)实验内容1:分析参考代码中的各语句功能、设计原理、逻辑功能,根据图1的波形提示,编写相应的Testbench文件代码,并用Modelsim进行仿真(仿真可以跳过时钟分频到100hz进程)。

(4)实验内容2:在此基础上进行硬件验证。

实验方法为:将clk接20Mhz 时钟信号,rst_n接核心板开关S1,fout接发光二极管SD0,预置值d从DKA0-DKA7输入,改变d的输入,从发光二极管SD0判断输出信号的频率。

(5)实验附加内容:将参考代码扩展成16位分频器,并提出此项设计的实用示例,如PWM的设计等。

(6)思考题:怎样利用2个参考代码中给出的模块设计一个电路,使其输出方波的正负脉宽的宽度分别由两个8位输入数据控制?
(7)附加题:从实验中你可以得到设计硬件电子琴的方法吗?
图1 当给出不同输入值d时,fout输出不同频率(clk周期=50ns)
参考代码。

数控分频器设计

数控分频器设计

实验六数控分频器设计一.实验目的1.设计实现一个根据不同的输入,将时钟信号进行分频2.掌握分频计数器类型模块的Verilog描述方法;3.学习设计仿真工具的使用方法;4.学习层次化设计方法;二.实验环境1.硬件环境:MagicSOPC实验箱,P4电脑;2.软件环境:QuartusⅡ软件一套。

三.实验内容1.用Verilog 语言设计带计数允许和复位输入的数控分频器。

2.编制仿真测试文件,并进行功能仿真。

3.下载并验证分频器功能四.实验原理分频就是根据输入的数字,对一段时钟周期进行分频,通过分频可以更清楚地看到输入与输出之间的关系,从而了解程序。

当用户设置好输入变量时,输出也就随之的改变。

五.实验步骤源程序:module dvf2(clk,d,fout,pfull);input clk;input[7:0]d;output fout,pfull;reg[7:0]cnt;reg pfull;reg fout;always @(posedge clk )beginif(cnt==d)begin cnt=8'd0;pfull =1;endelsebegin cnt=cnt+1;pfull=0;endendalways@(posedge pfull)fout=~fout;Endmodule1)RTL原理图2)仿真按管脚分配图分配管脚。

安装好实验箱驱动后点击图中start开始运行(图中没有安装驱动)。

六.实验心得体会本次实验初步了解了实验箱的构造,学会了实验箱与软件的连接方法,了解了软件下载到试验箱芯片上的基本流程,通过和老师的学习,同学的交流完成了本次实验。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数控分频器的设计
一、实验目的:
学习数控分频器的设计和测试方法。

二、实验原理:
数控分频器的功能为在不同输入信号时,对时钟信号进行不同的分频,在输出端输出不同频率的信号。

该电路可以用具有并行预置功能的加法计数器实现,方法是对应不同的输入信号,预置数(初始计数值)设定不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器的状态全为1时,计数器输出溢出信号。

用计数器的溢出信号作为输出信号或输出信号的控制值,使输出信号的频率受控于输入的预置数。

电路输出波形图:
三、实验内容:
1)根据实验原理画出电路框图,并计算在不同预置数时输出信号的频率与时钟频率的比值。

-------------------------------------------------------------------------------------------------------------
原理图:
数控分频器输出频率与输入时钟的关系:
当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比。

任意小数都可以表示成a n b
+形式,其中a<b 。

设分频器输入脉冲数为M ,输出脉冲数为P ,则有以下关系:
()()1 a nb a M n P P b b n b a n a P b +⎛⎫⎛⎫=+= ⎪ ⎪⎝⎭⎝⎭-++⎛⎫= ⎪⎝⎭
上式表明分频器进行b 次n 分频时,设法多输入a 个脉冲。

或者说在b 个脉冲输入周期中,进行b-a 次n 分频和a 次n+1分频。

这就是小数分频的基本原理。

n 和n+1也称为分频模式。

利用上述小数分频的方法,同样也可以实现整数分频,即令a=0,就可以完成整数N 分频
2)编写实现数控分频器的VHDL程序。

要求输出信号的占空比尽量为50%。

---------------------------------------------------------------------------
程序:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY DVF IS
PORT(CLK:IN STD_LOGIC;D:STD_LOGIC_VECTOR(3 DOWNTO 0);FOUT:OUT STD_LOGIC);
END;
ARCHITECTURE one OF DVF IS
SIGNAL FULL:STD_LOGIC;
BEGIN
P_REG:PROCESS(CLK)
VARIABLE CNT4:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
IF CLK'EVENT AND CLK='1' THEN
IF CNT4="1111" THEN
CNT4:=D;
FULL<='1';
ELSE CNT4:=CNT4+1;
FULL<='0';
END IF;
END IF;
END PROCESS P_REG;
P_DIV:PROCESS(FULL)
VARIABLE CNT2:STD_LOGIC;
BEGIN
IF FULL'EVENT AND FULL='1' THEN
CNT2:=NOT CNT2;
IF CNT2='1' THEN FOUT<='1';
ELSE FOUT<='0';
END IF;
END IF;
END PROCESS P_DIV;
END;
仿真波形:
管脚锁定:
信号旧试验箱新试验箱No.5
管脚号连接的器件管脚号连接的器件clk 43 Clk1 28 CLK0
D(3) 30 SW1233 SW1
D(2) 35 SW2234 SW2
D(1) 36 SW3235 SW3
D(0) 37 SW4236 SW4
Fout 29 LED1212 LED8。

相关文档
最新文档