BCD七段数码管显示译码器和真值表资料教学教材
实验六 BCD对七段显示器译码器

实验六BCD对七段显示器译码器一、目的:1.能了解BCD对七段显示器译码器电路的设计原理。
2.能利用AHDL语言设计一个BCD对七段显示器译码器电路。
3.能自行以CPLD数位发展实验系统验证所设计电路的正确性。
二、电路图:三、实验器配置图:四、实验步骤与画面:1.建立一个名为BCD_Dec7.v的新文件,并在quaruts Ⅱ文字编辑器中,以VerilogHDL语言来设计程式,图U6-1为算术逻辑运算单元的VerilogHDL程式。
其中in为输入端口,out为输出端口。
2.存储、检查及编译。
3.创建元件符号。
4.创建波形文件,设定合适的端口信号,仿真元件的波形。
观察波形图可以看出当s端口选择不同功能时,芯片会对A、B数据进行相应的操作。
5.请依照下表改变i[3..0]之值,观察并记录其输出的字型。
五、相关说明:1.BCD对七段显示器解码器的程式主要是以TABLE叙述来完成,我们只要将输出入关系以列表方式列出後,编译器就会自动将其编译化简成逻辑运算闸结构。
2.程式中用"%"符号字元括起来的为注解用文字。
编译器并不会处理。
3.对于一个微电脑记忆体位址分配解码控制以及周边之解码控制电路而言,若一一将每个输入变数所对应的解码输出列表出来的话。
简直是一件不可能的事,此时只有采用"X"don't care方式来表示,才能完成此不可能的任务,请参考图U7-3的程式范例。
上面的例子是表示:ROM的记忆体位址是在0000H—3FFFFH范围,而RAM的位址是在8000H—9FFFH范围。
4.对于一些没有列表出来的输入状态而言,其对应输出该如何解决呢?AHDL有个DEFAULTS叙述可以帮我们解决这个问题。
例如图U7-4的程式中,对于没有成立的输入状态,其对应输出的ascii_code 值都为“00111111”。
图U7-45.使用DEFAULTS…END DEFAULTS叙述时要注意下列几件事情:(1)Logic区段中只能有一个DEFAULTS叙述,而且其位置必须是在BE-GIN关键字后的第一个。
CD4511(BCD码七段码译码器)

表 3-2 CD 4511 的真值表
8421 BCD 码对应的显示见下图
:
选用共阴极数码管,对于 CD4511 ,它与数码管的基本连接方式如下图 :
J=
=(C+B)D+BI
如不考虑消隐 BI 项,便得 J=(B+C)D 据上式,当输入 BCD 代码从 1010---1111 时,J 端都为“1”电平,从而使显示器中
的字形消隐。
输出 输入 LE BI LI D C B A a b c d e f g 显示 X X 0 XXXX1111111 8 X 0 1 X X XX 0 0 0 0 0 0 0 消隐 0 1 1 0 0 0 0 1111110 0 0 1 1 0 0 0 1 0110000 1 0 1 1 0 0 1 0 1101101 2 0 1 1 0 0 1 1 1111001 3 0 1 1 0 1 0 0 0110011 4 0 1 1 0 1 0 1 1011011 5 0 1 1 0 1 1 0 0011111 6 0 1 1 0 1 1 1 1110000 7 0 1 1 1 0 0 0 1111111 8 0 1 1 1 0 0 1 1110011 9 0 1 1 1 0 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 1 0 0 0 0 0 0 消隐 1 1 1 X X XX 锁 存 锁存
a、b、c、d、e、f、g:为译码输出端,输出为高电平 1 有效。 CD4511 的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可
BCD七段译码器驱动器

tPHL 传输延迟时间 A tPLH 传输延迟时间 A tPHL 传输延迟时间 tPLH 传输延迟时间
CL=15pF RL=4KΩ CL=15pF RL=6KΩ
最小
参数值 典型
最大 100 100 100 100
单位
ns ns ns ns
天水天光半导体有限责任公司(八七一厂) 2005.1 版
件)。
4、当灭灯输入/动态灭灯输出(
)开路或保持在电平,而试灯输入( )为低
电平时,则所有各段的输出都为低电平。
*
是线与逻辑,用作灭灯输入( )或动态灭灯输出( )之用,或兼作
两者之用。
天水天光半导体有限责任公司(八七一厂) 2005.1 版
54LS248/74LS248
LSTTL 型 BCD—七段译码器/驱动器
输
HH H× H× H× H× H× H× H× H× H× H× H× H× H× H× H× ××
入 D C BA LLLL L L LH LLHL L LHH LHLL LHLH LHHL LHHH HLLL H L LH HLHL H L HH HHL L HHLH HHHL HHHH非门和一个驱动器成对连接,以产生可用的
BCD 数据及其补码至 7 个与或非译码门。剩下的与非门和 3 个输入缓冲器作为
试灯输入( )端、灭灯输入/动态灭灯输出(
)端及动态灭灯输入( )端。
该电路接受 4 位二进制编码—十进制数(BCD)输入,并根据辅助输入的状
态,将这些数据译成驱动其它元件的码。
Vcc=最大 (除
VI=2.7V )
20
IIL
输入低电平电流 Vcc=最大 VI=0.4V 其它输入
-1.2 -0.4
七段数码管显示实验报告

七段数码管显示实验报告实验目的:本实验的目的是通过控制7段数码管的亮灭状态来显示不同的数字和字母。
实验原理:7段数码管常用于显示数字和字母,每个数码管由7个LED灯组成,分别表示A、B、C、D、E、F、G等7个段。
通过控制这些LED灯的亮灭状态,就可以显示不同的数字和字母。
在实际应用中,通常需要使用一个译码器来根据输入的数字或字母输出相应的控制信号。
常用的译码器有7447、DM9368等。
这些译码器通常都是BCD码到7段数码管的译码器。
在本实验中,我们将使用7447译码器来控制7段数码管的亮灭状态。
7447译码器具有4个输入线和7个输出线,每个输入线上的BCD码可以转换成相应的控制信号,用于控制数码管的7个LED 灯。
实验材料:1.7段数码管2.7447译码器3.电路板4.电压源5.连接线实验步骤:1.将7447译码器插入电路板上相应的插槽中,并将数码管连接到电路板上。
2.将电压源连接到电路板上,并调节电压和电流值。
3.根据所需显示的数字或字母,设置相应的BCD码输入信号。
4.打开电源,观察数码管是否能够正确显示。
实验结果:通过本实验,我们可以成功控制7段数码管的亮灭状态,实现了数字和字母的显示。
同时,我们也了解了7447译码器的原理和使用方法。
实验小结:本实验是电子技术的基础实验之一,通过实验我们深入了解了7段数码管和7447译码器的原理和应用,同时也锻炼了我们的动手能力和实验技能。
在实际应用中,7段数码管和译码器常常被用于数字显示、计数器、时钟、温度计等电子设备中,具有广泛的应用前景。
已知8421bcd可用7段译码器,驱动日字led管,显示出十进制数字。指出下列变换真值表

已知8421bcd可用7段译码器,驱动日字led管,显示出十进制数字。
指出下列变换真值表为了解决这个问题,我们首先需要了解7段译码器是如何工作的。
7段译码器是一种集成电路,它可以将一个4位二进制数解码为7个输出信号,这些信号可以驱动7段LED显示器以显示数字。
通常,对于一个4位二进制数,其范围是0000到1001,对应于十进制中的0到9。
对于每一个十进制数字,7段译码器都会产生一个特定的输出组合来驱动LED的各个段,从而显示该数字。
现在,假设我们有一个8421 BCD(二进制编码十进制)到7段译码器的转换器,其功能是将8421 BCD码转换为7段显示码。
根据8421 BCD编码的定义,它使用4位二进制代码来表示十进制数字。
其中:第1位表示千位(8)第2位表示百位(4)第3位表示十位(2)第4位表示个位(1)例如,数字5的8421 BCD编码是0101。
基于这个编码规则,我们可以得出以下变换真值表:输入(8421 BCD)输出(7段显示码)显示数字0000 0x3F (点亮第1-7段) 00001 0x06 (点亮第2-4-6段) 10010 0x5B (点亮第段) 20011 0x4F (点亮第段) 30100 0x66 (点亮第段) 40101 0x6D (点亮第段) 50110 0x7D (点亮第段) 60111 0x07 (点亮第段) 71000 0x7F (点亮第段) 81001 0x6F (点亮第段) 9注意:这里的“点亮”是指对应的LED段应当亮起。
例如,数字3的7段显示码是0x4F,意味着第1、3、6、7段应该亮起。
七段数码管显示译码器

七段数码管显示译码器本页仅作为文档页封面,使用时可以删除This document is for reference only-rar21year.March可编程逻辑器件技术项目设计报告课题名称:七段数码管显示译码器班级:13电子(2)班姓名:许宋如指导老师:龚兰芳一、设计要求1)用VHDL语言设计一个可以实现以下功能的程序:输入时思位二进制数,输出时对应的十进制。
2)用四个开关代表四位二进制数,单个数码管显示对应的十进制数。
3)编完程序之后并在开发系统上进行硬件测试。
二、任务分析用来驱动各种显示器件,从而将用二进制代码表示的数字,文字,符号翻译成人们习惯的形式直观的显示出来的电路,称为显示译码器。
这种显示译码器用多种发光器件构成。
例如半导体发光二极管,液晶灯。
以发光二极管的七段数码管显示译码器为例进行说明。
七段字形译码器的真值表:设计原理图:四、硬件要求主芯片EPM240T100C5,4个开关,一个共阴七段数码管。
五、源程序library ieee;use ieee.std_logic_1164.all;entity yima isport(l:out std_logic_vector(5 downto 0);d0,d1,d2,d3:in std_logic;a,b,c,d,e,f,g:out std_logic);end yima;architecture behav of yima issignal m:std_logic_vector(3 downto 0); signal seg7:std_logic_vector(6 downto 0); beginl<=”011111”;m<=d3&d2&d1&d0;with m selectseg <=”011111”when ”0000”,“0000110”when “0001”,“1011011”when “0010”,“1001111”when “0011”,“1100110”when “0100”,“1101101”when “0101”,“1111101”when “0110”,“0000111”when “0111”,“1111111”when “1000”,“1100111”when “1001”,“1110111”when “1010”,“0111001”when “1011”,“0111001”when “1100”,“1011110”when “1101”,“1111001”when “1110”,“1110001”when “1111”,“0000000”when others;g<=seg7(6);f<=seg7(5);e<=seg7(4);d<=seg7(3);c<=seg7(2);b<=seg7(1);a<=seg7(0);end behav;六、接线图七、现象描述在硬件上测试,现象结果是,当被分配的引脚的四个拨码开关打到相应位置的二进制对应的七段显示器数码管上显示出相应的字形,例如:当拨码开关对应的二进制为1000的是字形8.八、总结通过这次课题实验,熟悉了各程序模块的编写特点,主要是进程语句模块,和结构体以及实体模块的程序编写。
BCD7段译码器

1 引 言
20世纪末,数字电子技术得到了飞速发展,有力地推动和促进了社会生产力的发展和社会信息化的提高,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用了数字电子技术。
原理图描述的7段显示译码器的工作波形七段显示译码器的原理图描述显示的字形沈阳工程学院课程设计通过对bcd码显示译码器的设计我进一步了解到eda工具在我们现实生活中的作用是不可能被替代的而且在数字逻辑系统中74系列或4000系列芯片构成电路只适合简单的应用它们已不能满足人们对高科技技术的要求因此我们要更好的学习eda工具以便提高自身的实践能力与专业知识的应用能力争取更快的与社会实际和社会需要接轨
备注
1
12.30-12.31
选题,搜集资料,熟悉设计环境
2
01.02-01.06
调试程序
3
01.07-01.08
仿真程序
4
01.09-01.10
完成课程设计说明书(论文)、进行答辩
沈 阳 工 程 学 院
EDA课程设计成绩评定表
系(部):自动化学院班级:学生姓名:
指 导 教 师 评 审 意 见
评价
内容
MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。
半导体数码管(或称LED数码管)是常用的显示器件,其基本单元是发光PN结,目前较多采用磷砷化镓做成的PN结,封装成为发光二极管,如图1(a)所示。当外加正向电压时,就能发出清晰的光线。发光二极管的工作电压为1.5~3V,由于工作电流为几毫安到十几毫安比较小,故实际电路应串接适当的限流电阻。
七段显示译码器电路设计

题目:七段显示译码器电路设计专业:电气自动化专业班级:姓名:学号:目录第一节绪论……………………………………………………………………………..1.1本设计的任务和主要内容………………………………………………………………..1.2基本工作原理及原理框图………………………………………………………………...第二节硬件电路的设计…………………………………………………………………2.1BCD译码器选择与设计…………………………………………………………………….2.2LED显示器的设计……………………………………………………………………………2.3总的设计……………………………………………………………………………………第四节设计总结…………………………………………………………………………第一节绪论本课程设计的七段译码器主要以BCD译码器或LED显示器为主要部件,应用集成门电路组成的一个具有译码和显示的装置。
其中BCD 译码器采用8421BCD译码器,即----七段显示译码器(74LS48)型。
LED显示器是由发光二极管组成的,LED显示器分共阴极和共阳极两种型号,共阴极LED显示器的发光二级管阴极接地,共阳极LED显示器的发光二极管阳极并联。
最后把BCD译码器或LED显示器组成了的装置就具有了显示和译码的功能。
此七段译码器也就成功了。
1.1设计的任务和本主要内容1)运用LED显示器或BCD译码器实现一定的功能2)写出详细的实验报告1.2基本工作原理及原理框图基本工作原理及原理框图如下:第二节硬件的设计BCD译码器选择与设计发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。
分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。
外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。
只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
B C D七段数码管显示译码器和真值表资料
BCD七段数码管显示译码器和真值表
类别:网文精粹阅读:1865
发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。
分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。
外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。
只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。
图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。
使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。
BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4—7译码器。
若用它驱动共阴LED 数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。
例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为Fa~Fg=0110011,这也是一组代码,常称为段码。
同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。
MSI BCD七段译码器就是根据上述原理组成的,只是为了使用方便,增加了一些辅助控制电路。
这类集成译码器产品很多,类型各异,它们的输出结构也各不相同,因而使用时要予以注意。
图4-17(c)是BCD七段译码器驱动LED 数码管(共阴)的接法。
图中,电阻是上拉电阻,也称限流电阻,当译码器内部带有上拉电阻时,则可省去。
数字显示译码器的种类很多,现已有将计数器、锁存器、译码驱动电路集于一体的集成器件,还有连同数码显示器也集成在一起的电路可供选用。