四人抢答器电路的设计与制作
毕业设计——四路智力竞赛智能抢答器【范本模板】

摘要和关键词本文主要围绕四路选手抢答电路的设计和制作而展开叙述的,叙述了电路设计的过程。
该电路主要包括电源电路、抢答显示电路、倒计时电路和脉冲电路构成。
电源电路提供稳定的5V电源,抢答显示电路能够锁存抢先获得抢答权的选手编号,倒计时电路能够对选手答题时间进行控制,脉冲电路为电路提供秒脉冲信号。
关键词:抢答电路仿真电路板倒计时目录一.绪论..。
.。
.。
.。
.。
..。
.。
..。
.。
.。
..。
11.1 设计任务与要求.。
....。
..。
.。
.....。
..。
....。
.。
.。
..。
.。
..。
11.2 设计方案.。
..。
..。
....。
....。
.....。
.。
.。
.。
..。
.。
.。
..。
1二。
模块设计及仿真..。
.。
.。
.。
...。
.....。
..。
.。
.。
.。
22。
1 仿真软件介绍。
.。
.。
.。
....。
.。
..。
.。
...。
..。
....。
..。
.。
22.2 电源电路的设计和仿真...。
.。
...。
.。
.。
..。
.。
...。
...。
......。
.。
32.3 抢答显示电路。
...。
.。
..。
..。
...。
.。
..。
.。
.。
.。
...。
..........。
.。
42.4 定时电路.....。
..。
.。
..。
.。
.。
..。
.。
.。
...。
.。
....。
...。
...。
.。
52。
5 脉冲电路..。
..。
.。
..。
..。
.。
.。
.。
.。
...。
.。
.。
..。
..。
.。
.。
(5)三. 元件及封装选择。
..。
.。
.。
..。
...。
.。
.。
..。
.。
63.1 74LS279锁存器。
.。
.。
.。
...。
.。
.....。
....。
.。
..。
..。
..。
..。
.。
.。
63.2 优先编码器 74LS148。
.。
.。
.。
......。
..。
.。
.。
...。
......。
..。
.。
.7 3.3 555定时器。
..。
.。
..。
..。
..。
..。
......。
...。
..。
.......。
...。
..9 3.4 译码器及应用.。
四人抢答器设计报告

四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。
二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。
当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。
当选手回答正确时加分,回答错误时减分。
由主持人控制加减分数。
三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。
将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。
再利用元件例化语句将这四个模块组成总的抢答器的设计电路。
选用模式五进行程序的下载。
四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。
四人智力竞赛抢答器电路原理及设计

四人智力竞赛抢答器电路原理及设计目录一、设计目的 ..................................................................... .............................................. 2 二、设计任务与要求 ..................................................................... .. (2)1、设计任务 ..................................................................... . (2)2、设计要求 ..................................................................... . (2)三、四人智力竞赛抢答器电路原理及设计 .....................................................................31、设计方案 ..................................................................... . (3)2、系统框图 ..................................................................... . (3)3、方案比较 ..................................................................... . (4)方案1 ...................................................................... ........................................................................ . (4)方案2:...................................................................... ........................................................................ (4)方案3: ..................................................................... ........................................................................ . (4)4、单元电路设计及元器件选择 ..................................................................... (4)(1)抢答电路 ..................................................................... ........................................................................ .. (4)(2)定时电路 ............................................................................................................................................. .. (7)(3)报警电路 ..................................................................... ........................................................................ .. (9)(4)时序控制电路 ..................................................................... ......................................................................10(5)元器件列表 ..................................................................... ........................................................................ .. 125、四路抢答器总电路图 ..................................................................... ........................................... 13 四、设计过程中的问题和解决办法 ..................................................................... ......... 13 五、设计成品的优点与不足 ..................................................................... ..................... 14 六、心得体会 ..................................................................... ................................................ 14 七、实物图 ..................................................................... (15)1、正面 ..................................................................... ......................................................................152、反面 ..................................................................... ......................................................................161四人智力竞赛抢答器一、设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。
数字电路设计---四人抢答器

一、设计任务与要求1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。
2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。
3. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。
当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。
5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
6. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。
二、预习要求1.复习编码器、十进制加/减计数器的工作原理。
2.设计可预置时间的定时电路。
3.分析与设计时序控制电路。
4. 画出定时抢答器的整机逻辑电路图三、设计原理与参考电路1.数字抢答器总体方框图如图所示为总体方框图。
其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置?quot;开始"状态,宣布"开始"抢答器工作。
定时器倒计时,扬声器给出声响提示。
选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。
当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
2.单元电路设计(1) 抢答器电路参考电路如图所示。
该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。
四路抢答器的设计和制作

HANDS ON PROJECTS
作者 广西水利电力职业技术学院
韦穗林
四路抢答器的设计和制作
一、电路设计
1.设计要求
用 组 合 逻 辑 器 件 CD4511 构 成 四 路 抢 答 器。
CD4511 实现优先抢答的锁存、译码输出驱动 LED
数码管显示先抢答者的号码,同时四路抢答器发出
响声 ;主持人通过“复位”按钮清除 LED 数码管
D
C
B
A
d
c
b
a
0
0
0
0
0
X
X
X
0
0
0
1
0
0
0
1
0பைடு நூலகம்
0
1
0
0
0
1
0
0
1
0
0
0
0
1
1
1
0
0
0
0
1
0
0
备注
无人抢答,BI = 0,LED 不亮 A 抢答,显示 1 B 抢答,显示 2 C 抢答,显示 3 D 抢答,显示 4
用卡诺图化简输出 a、b、c、d 与输入 A、B、C、 D 的逻辑函数表达式为 :
a=A+C,b=B+C,c=D,d=0 根据化简后逻辑函数表达式,用二极管 D1 和 D2 分别实现 a=A+C 和 b=B+C。 用 CMOS 的 集 成 或 非 门 CD4001 构 成 RS 触发器。其①脚为 S 输入端,⑥脚为 R 输入 端。用二极管 D3、D4、 D5、D6 和电阻 R5 组 成 4 输入或门电路,实 现 S=A+B+C+D。用集 成 555 定时器构成多谐 振荡器。采用蜂鸣器作 为 发 音 器 件。CD4511 译码输出经 220~330Ω
数电四人智力抢答器课程设计

设计题目:简易智力竞赛抢答器的设计与制作一、设计要求抢答器可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。
主持人具有将抢答器复原的功能。
智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。
二、总体设计电路由选手和主持人开关、触发锁存电路、抢答鉴别电路和显示电路组成。
三、单元电路设计1、选手开关和主持人开关选手开关由下面四个开关组成,四位抢答者通过开断各自的开关开关实现抢答,给译码器输入高低电平,其中低电平为有效信号2Q03Q17Q16Q210Q211Q315Q314D04D15D212D313CLK9MR1+5V200200200200R4CV5TR2GND1TH6DC7Q3VCC847K47K0.01U0.01UFGND+5V910121374LS04246810874LS2013121245674LS20GNDLED74LS1752、触发锁存电路此电路有74LS175组成。
它具有以下功能:①清零功能用集成触发器清除端实现,由主持人输入手动负脉冲控制②四个抢答键控制功能有按键实现③显示功能用数字逻辑箱中的发光二极管实现④脉冲信号控制功能由主持人输入手动正脉冲控制74LS175真值表如下GN10K 10K 10K 10K47K47K0.01UFGND135911LED4、显示电路电路由四个发光二极管和四个200欧电阻串联组成,哪个选手先抢到对应的灯即亮。
1TH6 DC7 Q3847K47K0.01UF GND+5V5、时钟脉冲电路由555定时器和两个0.01uF 的电容和470欧姆的电阻组成多谐振荡。
2Q03Q17Q16Q210Q211Q315Q314D0D1D2D3CLK MR200200200200910121374LS04874LS2013121274LS20GND LED74LS175四、总电路图抢答开始时,主持人清楚信号按下复位开关,74SL175的输出Q1~Q全为0,所有发光二极管LED均熄灭。
数电四人抢答器实验报告

数电四人抢答器实验报告一、引言数电实验是电子信息类专业中非常重要的实践环节之一。
在这个实验中,我们将设计一个四人抢答器,用以提高学生的学习兴趣和积极性。
本实验报告将详细介绍实验设备、实验原理、实验过程、实验结果和实验结论。
二、实验设备为完成这个实验,我们需要的设备如下: 1. 电路板:用于搭建电路。
2. 电路元件:包括逻辑门、继电器、按键等。
3. 电源:提供电路运行所需的电力。
4. 显示器:用于显示抢答的结果。
5. 电子元器件:如电阻、电容等。
三、实验原理1. 抢答器电路设计原理抢答器是由逻辑门、继电器和按键构成的。
逻辑门用于控制继电器的开关,按键用于触发抢答动作。
当按键按下时,逻辑门输出一个信号,控制继电器的闭合动作,再通过继电器控制显示器的亮灭,实现抢答结果的显示。
2. 抢答器工作原理抢答器工作原理如下: 1. 初始状态下,逻辑门输出低电平,继电器处于断路状态,显示器关闭; 2. 当一个人按下按键时,逻辑门输入高电平,逻辑门输出高电平,继电器闭合,显示器亮起; 3. 当有人抢答成功后,其他人按下按键均不会触发抢答动作,显示器继续保持亮起状态; 4. 当抢答成功者释放按键后,逻辑门输入电平变为低电平,逻辑门输出低电平,继电器断路,显示器关闭。
四、实验过程1. 硬件搭建根据实验原理,我们开始搭建实验所需的电路。
首先,我们在电路板上连接逻辑门、继电器和按键。
此外,还需要连接电源和显示器。
2. 电路测试在搭建完电路后,我们进行了电路测试。
通过按下按键,观察继电器和显示器的状态变化,验证电路的正确性。
如果测试结果不符合预期,我们会检查电路连接和元件的质量,确保没有问题。
3. 实验操作完成电路测试后,我们开始进行实验操作。
实验操作包括以下步骤: 1. 将抢答器电路连接到计算机,并打开电源; 2. 按下按键,观察显示器的状态变化; 3. 释放按键,再次观察显示器的状态变化; 4. 复位电路,准备下一轮实验。
四人抢答器实验报告

四人抢答器实验报告实验报告:四人抢答器一、实验概述本实验通过制作一个简单的四人抢答器来研究电子电路的设计及实现。
四人抢答器可以用于各种有关答题,问答等活动中,通过对接线和元器件的正确连接,实现四人同时答题,快速抢答的功能。
二、实验原理四人抢答器的设计原理非常简单,由主控电路和四个分控电路组成。
主控电路通过运放和3.9V稳压器实现信号的放大和稳定输出,同时提供驱动信号。
四个分控电路通过联锁开关控制按键和LED的亮灭,当按键被按下后,会快速输出信号,并点亮相应的LED。
三、实验过程1.设计及制作电路板根据实验需求,设计并制作了一个简单的电路板。
在电路板上布局了主控电路和四个分控电路。
电路板上还分别接上了用于联锁控制的开关和四个用于控制LED亮灭的按键。
2.元器件的安装在制作好的电路板上,依据电路说明,将需要的元器件逐一安装到电路板上,包括电容器、电阻、集成电路等元器件,并进行测试。
3.调试测试按照电路图所示,正确连接接线,检查电源是否正确并插入,并接通电源。
逐个测试四个分控电路的功能,确保每个LED可以正常亮灭,并且每个分控电路可以通过联锁开关进行控制,同时主控电路可以正确地识别四个分控电路的输入。
四、实验结果实验结果表明,本次设计的四人抢答器可以快速准确的响应四个按键,同时点亮相应的LED灯。
实验过程中没有出现短路、过压、过流等问题,电路板制作和元器件安装没有任何质量问题。
五、实验总结通过此次实验,我们学习了如何制作一个简单且实用的四人抢答器。
我们也学习了一些基本的电子电路知识,例如稳压器、运放、电容器、电阻等,这些知识可以帮助我们更好地理解电子电路的设计与实现。
在实验中,我们也学会了如何正确运用元器件,按照电路图进行正确的接线和测试,这些对于我们未来的电子电路设计和调试都具有重要意义。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
四人抢答器电路的设计与制作作者:严晶【摘要】抢答器是工厂、机关、学校等单位广泛开展知识竞赛活动时不可缺少的设备。
我本次毕业设计的四人抢答器电路主要运用集成芯片CD4511等作核心元件,构成四路抢答器,实现设计指标的要求:电路具有自锁及互锁的功能;用数码管显示抢答者的号码,同时该路抢答器发出响声;主持人通过“复位”按钮清除LED数码管的显示和停止响声。
【关键词】抢答器译码显示 RS触发器编码电路电路前言毕业设计是高校实现培养目标的重要教学环节,对大学生的创新精神、实践能力和综合素质的培养有着十分重要的作用,同时也是衡量高校办学质量和办学效益有重要评价内容。
通过设计本课题,让学生对所学过的数字电路知识进行综合复习与运用。
并且通过设计,组装与调试硬件电路等的操作,锻炼学生的实践能力与电路调试能力,同时也锻炼学生的论文书写能力。
本次毕业设计的内容是:自选适当的数字集成电路及分立元件,设计出一款简易的模拟四人抢答器电路,要求设计出的电路能够实现以下功能:1、4人抢答,每人1个控制开关;2、电路具有自锁及互锁功能;3、有“复位”和“开始”功能,“复位”时不能抢答;4、用数码管显示抢答者的序号。
根据以上内容,结合学习过的知识,我对毕业设计内容作了如下分析:1、用数、模电知识完成四路数字抢答器的设计与制作;2、设计的电路可同时供四组选手参加比赛,各用一个按钮,他们的编号分别是A,B,C,D,;3、主持人设置一个控制开关R,用来控制系统的清零和抢答的开始;4、抢答器具有数码锁存功能。
抢答开始后,若有选手按动抢答按钮,编号立即锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止;5、用数码管显示抢答着的序号。
第1章设计方案的论证及选择一设计方案的论证根据设计任务书的要求,我初步拟定了以下两种设计方案,每种方案的原理框图及简单工作原理介绍如下。
1、设计方案一图1 方案一原理框图该设计方案中的抢答器具有锁存、定时、显示和报警功能。
即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的序号显示出来,并且有报警声。
当主持人将按钮开关拨到“清除”状态,抢答器电路处于禁止状态;当某选手首先按某一开关时,可通过编码器进行编码,触发锁存电路被触发而开始工作,并在锁存器中执行锁存功能,在输出端产生相应的开关电平信号,同时为防止其它选手的按钮开关随后按下,进而触发而产生影响,最先产生的输出电平变化又反过来将触发电路锁定。
然后显示相应的序号,并报警。
2、设计方案二图2 方案二原理框图该设计方案中的抢答器实现以下功能:优先判断、编号锁存、编号显示、扬声器提示。
当一轮抢答之后,数码管显示序号,有报警声,并禁止第二轮抢答。
如果再次抢答必须由主持人再次操作复位开关。
在该设计方案中:编码电路由按钮开关和二极管组成,利用二极管的单向导电性进行编码,将四路抢答输入电平信号分别编码成对应的BCD码。
由于电路工作速度很快,几乎不会出现多人同时刻抢答情况,即使有多人同时抢答,抢答的结果也是无效的。
所以将多人同时刻抢答输入看成任意项。
抢答输入为高电平,用“1”表示;无抢答输入为低电平,用“0”表示。
控制电路由4-7线译码/驱动器CD4511构成。
根据输入的高低电平不同进行锁存、复位和译码。
锁存器及控制电路由集成CMOS或非门CD4001构成RS触发器。
其①脚为S输入端,⑥脚为R输入端,接复位开关,按下按钮开关后,输入高电平,④脚为Q端输出高电平到控制电路。
显示电路由共阴数码管和电阻组成。
译码电路输出经220~330欧的电阻限流后加到LED 数码管各相应的阳极。
显示出抢答者的序号。
报警电路用三极管和电阻组成,用555定时器构成多谐振荡器,产生振荡信号,供给后续电路使用,采用蜂鸣器作为报警器件。
二设计方案的选择我将第一种和第二种方案比较之后觉得第二种方案更适合设计思想,更能体现毕业设计的要求,可以实现任务书中,要求用数码管显示抢答者序号的要求。
同时根据本次毕业设计的精神“电路最简单,调试最方便,元器件来源有保障,安全可靠,成本最低”,同时也为了贯彻毕业设计的“创新,挑战自己”的宗旨。
综合各方面因素考虑,设计方案二充分的满足了本次毕业设计的要求,同时在元器件来源上可以得到保障,最终决定选用设计方案二进行毕业设计。
第二章 单元电路设计1、编码电路设计图3 编码电路编码电路由按钮开关和二极管组成,利用二极管的单向导电性进行编码,将四路抢答输入电平信号分别编码成对应的BCD 码。
由于电路工作速度很快,几乎不会出现多人同时刻抢答情况,即使有多人同时抢答,抢答的结果也是无效的。
所以将多人同时刻抢答输入看成任意项。
抢答输入为高电平,用“1”表示;无抢答输入为低电平,用“0”表示。
如表4—3线编码器真值表。
4—3线编码器真值表用卡洛图化简输出a 、b 、c 、d 与输入A 、B 、C 、D 的逻辑函数表达式:a=A+C,b=B+C,c=D,d=0根据化简后逻辑函数表达式,用二极管D1和D2分别表现a=A+C,b=B+C 。
4输入或门电路,实现S=A+B+C+D控制电路由4-7线译码/驱动器CD4511构成。
根据输入的高低电平不同进行锁存、复位和译码。
输入 输出(8421BCD 码) 备 注D C B A d c b a 0 0 0 0 0 x x x 无人抢答,BI =0,LED 不亮0 0 0 1 0 0 0 1 A 抢答,显示10 0 1 0 0 0 1 0 B 抢答,显示2 0 1 0 0 0 0 1 1 C 抢答,显示3 01D 抢答,显示42、RS触发器设计锁存器及控制电路由CMOS的集成或非门CD4001构成RS触发器。
其①脚为S输入端,⑥脚为R输入端,接复位开关,按下开关后,输入高电平。
④脚为Q端输出高电平到控制电路。
3、编码译码电路设计图4 RS触发器电路图5 编码译码电路译码电路和译码显示电路由4-7线译码/驱动器CD4511构成。
将编码电路输出的信号进行编码,译码。
显示电路由共阴数码管和七个电阻组成。
译码电路输出经220~330欧的电阻限流后加到LED数码管各相应的阳极。
显示成抢答者相应的序号。
4、报警电路设计图6 报警电路报警电路用二极管D3、D4、D5、D6和电阻R5组成用集成555定时器构成多谐振荡器。
采用蜂鸣器作为发音器件。
CD4511译码输出经220~330欧的电阻限流后加到LED数码管各相应的阳极。
第三章主要元器件功能介绍1、BCD码4—7线译码/驱动器(CD4511)CD4511是具有锁存功能的BCD码4—7线译码/驱动器。
CD4511能将输入的二一十进制码(8421BCD码)译成七段码(a~g),驱动共阴极LED数码管。
它是16脚双列直插式COMOS的集成器件,引脚排列如图所示。
其各引脚功能如下:VCC、GND分别是正、负电源端,电源电压范围是3~18V.A1、A2、A3、A4是8421BCD码输入端。
a~g是七段译码输出,高电平有效。
LT是灯测试端。
当LT=0时,无论其它输入端状态如何,此时a~g全为1,LED所有段全亮。
可利用此来检查数码管的好坏。
BI是消隐控制端。
当BI=0,且LT=1时,a~g全为0,数码管不亮。
LE是琐存控制端。
当LE=0时选通,LE=1时锁存。
2、NE555时基电路用555时基电路组成的自激多谐震荡器时,它的5脚为控制端,片内接比较器的同时输入端其电位为2/3VCC。
用555时基电路组成自激多谐振荡器时,一般将5脚通过一个小电容接地,以防止外界干扰对阈值电压的影响。
1脚GND为接地端。
2脚TR加在比较器C2的信号输入端也称触发输入端,由此输入触发脉冲UI2,当2端的输入电压高于1/3VCC 时,C2的输出为“1”,当输入电压低于1/3VCC时,C2的输出为“0”,使基本RS触发器置“1”。
3脚OUT为输出端,输出电流可达200mA,因此可直接驱动继电器,扬声器等。
输出高电压略低于电源电压VCC。
4脚R为直接复位输入端,由此输入负脉冲时,触发器直接复位,正常工作必须接高电平。
5脚CO为控制电压输入端,经0.01UF的滤波电容接“地”,以防止干扰的引入,提高参考电压的稳定性。
6脚为阈值输入端。
7脚为放电端,都要外接上拉电阻。
8脚为电源端。
3、四2输入正或非门(CD4001)把四2输入正或非门(CD4001)的各任意输入端并联在一起就成了非门,利用或非门的一个输入端受控,就可以组成脉冲键控多谐振荡器。
根据其逻辑关系,当控制端为低电平时,电路停止振荡,当控制端为高电平时,电路振荡。
因此,在控制端加上控制脉冲,就组成了脉冲振荡器。
第四章电路的组装与调试1、编码电路组装与调试按照编码单元电路设计图在面包板上进行合理插接,接通+5V直流电源到编码单元电路。
测试编码电路,当按下按钮开关A之后,将万用表打到直流电压档测试,分别测试输入端A、输入端B、输入端C、输入端D。
输入端A输出高电平,输入端B、输入C、输入D 都是低电平,切断电源后再次接通电源到该单元电路,按下按钮开关B之后,再按按钮开关A、C、D,再将万用表打到直流电压档测试,分别测试输入端A、输入端B、输入端C、输入端D。
B端输出高电平,A端、C端、D端都是低电平。
可见,编码电路的工作正常,实现了互锁。
2、RS触发器电路的组装与调试按照RS触发器单元电路设计图在面包板上进行合理插接,接通+5V直流稳压源。
测试RS触发器,将万用表打到直流电压档测试,测试RS触发器的Q输出端和S输入端。
任意按下按钮开关A、B、C、D之后,RS触发器的S输入端高电平,Q输出端变为高电平。
再按任意按钮,Q输出端保持高电平不变。
当按下复位按钮R后,R输入端瞬时输入高电平,Q 输出端输出低电平。
可见,RS触发器工作正常。
3、译码和显示电路组装与调试按照译码和显示单元电路设计图在面包板上合理进行插接,接通+5V直流稳压源,测试译码和显示电路。
当按下按钮开关A,用万用表测试BCD码4—7线译码/驱动器CD4001输出端A、C为高电平,其余输出端为低电平,数码管显示1。
当按下按钮开关B,用万用表测试BCD码4—7线译码/驱动器CD4001输出端A、B、G、E、D为高电平,其余输出端为低电平,数码管显示2。
当按下按钮开关C,用万用表测试BCD码4—7线译码/驱动器CD4001输出端A、B、G、C、D为高电平,其余输出端为低电平,数码管显示3。
当按下按钮开关D,用万用表测试BCD码4—7线译码/驱动器CD4001输出端F、G、B、C为高电平,其余输出端为低电平,数码管显示4。
可见,译码和显示工作正常。
4、报警电路组装与调试按照报警单元电路设计图在面包板上进行合理插接,接通+5V直流稳压源,用示波器测试NE555的3号输出端,显示一个幅度3.6V,频率为53.48Hz的方波。