四路抢答器单片机课程设计

四路抢答器单片机课程设计
四路抢答器单片机课程设计

塔里木大学信息工程学院

《单片机原理与外围电路》课程论文

题目:基于单片机的四路抢答器

姓名:李健豪

学号:2021211214

班级:计算机科学与技术15-2班

摘要

系统是以单片机的基本语言汇编语言来进行软件设计,指令的执行速度快,节省存储空间。为了便于扩展和更改,软件的设计采用模块化结构,使程序设计的逻辑关系更加简洁明了。使硬件在软件的控制下协调运作。正文中首先简单描述系统硬件工作原理,且附以系统硬件设计框图,并介绍了单片机微处理器的发展史,论述了本次毕业设计所应用的各硬件接口技术和各个接口模块的功能及工作过程, 并描述了AT89C51外接电路接口的软、硬件调试。其次阐述了程序的流程和实现过程。本文撰写的主导思想是软、硬件相结合,以硬件为基础,来进行各功能模块的编写。

关键词单片机;微处理器;AT89C51

Abstract

This system edits collected materials the language to proceed with single the basic language of a machine the software designs, the instruction carrie s out the speed quick, save memory. For the sake of easy to expand with the d esign adoption mold a logic for turning construction, making procedure design ing relation that change, software more shorter and more easier to understand . Make hardware control in software descended to moderate the operation. The text inside describes the system hardware work principle in brief first, anda ttach with the system hardware design frame diagram, combine development hist ory that introduced the single a machine microprocessor, discuss this graduat e design a function for applied each hardware connecting a people the techniq ue connects with each one a mold piece and work processes, combine to describ e in a specific way AT89C51 circumscribes the electric circuit connects oscul ar and soft, the hardware adjusts to try. Expatiated the process of the proce dure the next in order with realizes process. The predominance thought that t his text compose is soft, the hardware combines together, regarding hardware as the foundation, proceed the plait of each function mold piece write.

Keywords Micro Controller Unit; Microprocessor; AT89C51

- 2 -

目录

1绪论................................................................................................................................. - 4 -

1.1课题背景及研究意义 ....................................................................................... - 4 -

1.2国内外现状 ....................................................................................................... - 5 -

1.3课题的设计目的 ............................................................................................... - 5 -

1.4课题的主要工作 ............................................................................................... - 5 -2系统概述......................................................................................................................... - 6 -

2.1设计要求 ........................................................................................................... - 6 -

2.2、总体法案设计 ................................................................................................ - 6 -3系统硬件设计................................................................................................................. - 7 -

3.1元器件简介 ....................................................................................................... - 7 -

3.2系统总电路图 ................................................................................................... - 7 -

3.4时钟电路设计 ................................................................................................... - 8 -

3.5复位电路设计 ................................................................................................... - 9 -

3.6指示灯电路设计 ............................................................................................... - 9 -4系统软件设计............................................................................................................... - 10 -

4.1系统程序设计 ................................................................................................. - 10 -

4.2仿真结果 ......................................................................................................... - 11 -结论.................................................................................................................................. - 12 -参考文献.......................................................................................................................... - 13 -附录A 系统整体电路..................................................................................................... - 14 -附录B 全部程序清单................................................................................................... - 14 -附录C PCB模拟图.......................................................................................................... - 18 -附录D 3D仿真图............................................................................................................ - 18 -

- 3 -

1绪论

1.1课题背景及研究意义

近年来单片机发展十分迅速,单片机的应用已经渗透到电力、冶金、化工、建材、机械、食品、石油等各个行业。

学单片机就相当于自己开发硬件,扩展计算机硬件的功能,通过学习单片机也能对计算机硬件知识有更深入的领悟,对于高级编程水平有一定帮助。学了单片机,就会对现在比较流行的所谓“嵌入式”系统有比较明确的了解,比如IC卡(比如电话卡)、射频卡(比如公交一卡通)、磁卡(比如银行卡),还有什么GPS(全球定位,无非就是个能连到串口的小电路模块)、GPRS/GSM模块(相当于一部手机的核心部分)、CMOS/CCD摄像头、LCD

显示屏、CAN Bus、USB接口电路等等看似神秘的技术感到不再陌生。

单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系

统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机了解计算机原理与结构的最佳选择。

可以说,二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成.还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器,如图2所示)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

在计算机出现以前,有不少能工巧匠做出了不少精巧的机械。进入电器时代后,人们借助电气技术实现了自动控制机械,自动生产线甚至自动工厂,并且大大地发展了控制理论。然而,在一些大中型系统中自动化结果均不理想。只有在计算机出现后,人们才见到了希望的曙光。如今借助计算机逐渐实现了人类的梦想。但是,计算机出现后的相当长的时间里,计算机作为科学武器,在科学的神圣殿堂里默默地工作,而工业现场的测控领域并没有得到真正的应用。只有在单片机(Microcontroller)出现后,计算机才真正地从科学的神圣殿堂走入寻常百姓家,成为广大工程技术人员现代化技术革新,技术革命的有利武器。

- 4 -

1.2国内外现状

单片机诞生于20世纪70年代末,经历了SCM、MCU、SoC三大阶段。单片机是嵌入式系统的独立发展之路,向MCU阶段发展的重要因素,就是寻求应用系统在芯片上的最大化解决;因此,专用单片机的发展自然形成了SoC化趋势。随着微电子技术、IC设计、EDA 工具的发展,基于SoC的单片机应用系统设计有较大的发展。因此,对单片机的理解可以从单片微型计算机、单片微控制器延伸到单片应用系统。

目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机,以及程控玩具等等,这些都离不开单片机。

单片机的技术进步反映在内部结构、功率消耗、外部电压等级以及制造工艺上。在这几方面,较为典型地说明了数字单片机的水平。在目前,用户对单片机的需要越来越多,但是,要求也越来越高。在单片机应用中,可靠性是首要因素为了扩大单片机的应用范围和领域,提高单片机自身的可靠性是一种有效方法。近年来,单片机的生产厂家在单片机设计上采用了各种提高可靠性的新技术:EFT(Ellectrical Fast Transient)技术,低噪声布线技术及驱动技术,采用低频时钟。同时单片机在目前的发展形势下还表现出可靠性及应用越来越水平高和互联网连接,所集成的部件越来越多,功耗越来越低和模拟电路结合越来越多等发展趋势。

1.3课题的设计目的

单片机作为一种工具,现在已经广泛的应用于智力和只是竞赛场合。本次设计是基于单片机51系列的理论知识综合运用AT89C51单片机设计的简易四路抢答器。利用AT89C51单片机的外围接口来实现抢答系统,利用单片机的定时器/计数器计数和定时的原理,将软、硬件有机的结合起来,使得系统能正确的记时,发光二极管能正常的闪烁。同时系统能够实现:在抢答过程中只有在主持人按下开始抢答键开始之后抢答才有效,如果在开始抢答之前抢答视为无效。在抢答成功之后发光二极管会变成闪烁状态与提示选手开始回答问题。同时还有主持人控制的系统复位键,以实现系统的复位。还有按键锁定,在一个选手抢答成功或者法规状态下其他按键无效。

通过这次的设计,对51系列的单片机的运用有了更深一层次的了解,也提高了我们的动手能力,加深我们对单片机的映像,为我们以后的学习打下一定的基础。

1.4课题的主要工作

本次设计是基于单片机51系列的理论知识综合运用AT89C51单片机设计的简易四路抢答器。利用AT89C51单片机的外围接口来实现抢答系统。

主要工作如下:

抢答器接上电源之后,左上为主持人使用的复位键,左下为主持人使用的开始抢答键,

- 5 -

右下的键为供选手使用的抢答键,从右下往上分别为选手一至选手四的按键,在主持人还没有按下开始抢答键是若有选手按下抢答键,则其选手相应的指示灯会闪烁以提示其犯规,此时主持人应按下复位键,重新开始。如选手抢答成功之后,其相应的指示灯会亮3秒,之后会变成闪烁以提示选手作答。

2系统概述

2.1设计要求

(1)、总共6个按键,两个供主持人用于“开始抢答”和“复位”操作,四个供四个选手用作“抢答”操作。

(2)、用1个发光二极管用作开始抢答的指示灯,用4个发光二极管分别显示4个选手的抢答状态。

(3)、开始抢答后,哪个选手抢答键最先按下,该选手的抢答指示灯点亮,表示抢答成功,此时其他选手再按键为无效,抢答成功后开始3秒计时,到时指示灯变为闪烁,以提示选手选手抢答后必须3秒内回答问题,否则视为犯规。

(4)、开始抢答前,若有选手按下抢答键,则其对应的指示灯变为闪烁,表示该选手犯规,此时有选手按键都将无效。

(5)、出现犯规后,主持人可以利用“复位键”重新开始。

2.2、总体法案设计

方案:依据课题要求,基于AT89C51单片机制作的抢答器,其最大的好处就是处理快,准确性高、可靠性好、控制功能强。

采用51系列的单片机AT89C51作为控制中心,系统可以完成运算控制、信号的控制以及显示的功能。选手通过按键开光作为输入信号完成输入信号的触发,主持人根据选手的输入信号准确的判断最先按下的选手且屏蔽其他的抢答信号,让选手作答。使用外部中断方式来实现开始抢答,运用按键复位来实现系统的复位,其系统原理框图如下:

- 7 -

3系统硬件设计

3.1元器件简介

AT89C51单片机,其内部带有4KB 的掩膜ROM 。无需扩展外部程序存储器。其中: CVV :供电电压。 GND :接地。

P0口:P0口为一个8位漏级开路双向I/O 口,每脚可吸收8TTL 门电流。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O 口,P1口缓冲器能接收输出4TTL 门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。

P2口:P2口为一个内部上拉电阻的8位双向I/O 口,P2口缓冲器可接收,输出4个TTL 门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O 口,可接收输出4个TTL 门电流。P3口也可作为AT89C51的一些特殊功能口:P3.0 RXD (串行输入口);P3.1 TXD (串行输出口);P3.2 /INT0(外部中断0);P3.3 /INT1(外部中断1);P3.4 T0(记时器0外部输入);P3.5 T1(记时器1外部输入);P3.6 /WR (外部数据存储器写选通);P3.7 /RD (外部数据存储器读选通)。 RST :复位输入。

EA/VPP :当/EA 保持低电平时,则在此期间外部程序存储器,不管是否有内部程序存储器。当/EA 端保持高电平时,此间内部程序存储器。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 3.2系统总电路图

图二、总电路图

3.3独立式按键电路

如上图5个独立式按键K1—K4分别接在P2口的P2.0—P2.3另外一端接地。当有一键按下时相应的口线的电平发生变化,单片机进行扫描确定哪个键按下。K5接P3.3接外部中断1,作为主持人开始抢答键的按键。当5个键中的其中一个键按下时,其对应的指示灯也相对的被点亮。

3.4时钟电路设计

如上图示,为时钟电路,单片机必须在时钟驱动下才能正常的工作,在单片机内部有一时钟振荡电路,只需外接一个振荡源就可以一定的时钟信号送到各个单元,采用12MHz 的晶振,其时钟周期为1/12us,电路中两个电容C1和C2的作用:一是帮组振荡器起振;

- 8 -

二是对振荡器的频率进行微调,它们的值都为30PF。

3.5复位电路设计

单片机的第9引脚RST是复位端,只要在复位端保持两个周期以上的高电平,就可以实现复位。

上图采用按键手动复位中的按键电平复位,由复位按键和10PF的电容,以及一个200Ω的电阻和一个1KΩ的电阻构成复位电路,当复位键按下就对单片机进行复位。单片机复位完成之后,系统程序将从0000H开始执行。

3.6指示灯电路设计

- 9 -

上图为指示灯电路,采用共阳极的方式,为低电平是发光二级管亮,即发光二级管的正极接上500Ω的保护电阻在接到高电平,另外一端接到单片机的P1口的P1.0—P1.4,当其对应的按键按下时,相应的二极管就会被点亮。

4系统软件设计

4.1系统程序设计

在抢答过程中,有多个信号输入主电路中,单片机内部的寄存器工作,并识别、记录第一个抢答的人,同时内部的定时器开始工作,记录有关的时间信号,在整个抢答过程中,显示电路还要根据现场的实际情况来相应的显示输入信号。

采用外部中断一,且为下降源触发,在设计中包括:主程序、查询程序、非法抢答处理程序、正常抢答处理程序、显示程序。

主程序流程图如下:

- 10 -

4.2仿真结果

1.软件测试开始,由裁判按k5选择开始。D5灯亮。

2.假如k4选手抢答成功,则D4灯亮。

- 11 -

3.抢答结束后,由裁判K6,复位。所有灯熄灭。

结论

通过这次的单片机四路抢答器的课程设计,我认为不仅检验了我对所学知识的掌握程度,也培养了我如何去把握一件事情,如何去做一件事情,又如何去完成一件事情。通过这次的设计,让我对单片机的知识又有了近一步的了解,让我在多方面都有了一定的提高。通过这次设计,综合运用本专业所学习的课程的理论,设计工作的实际训练从而提高我们的独立的工作能力,巩固我们所学的知识,提高了我们我们的独立思考能力。在设计的过程中,我遇上了许多的问题,但是我没有放弃,在不明白的地方,及时的查阅材料,问老师,问同学,顺利地编写程序,仿真,调试。

通过这次的实训在软件方面在进一步学习了汇编程序编译环境keil uVision2,并且学习新的软件ISIS 7 Professional,学习在上面画电路图,仿真电路。在此、我感谢此次实训带我们的张任老师,还有那些在课程设计中帮助我的同学。

- 12 -

参考文献

[1]李广弟等.单片机基础[M].北京航空航天出版社,2001.

[2]夏继强. 单片机实验与实践教程. 北京:北京航空航天大学出版社, 2001

[3]胡健.单片机原理及接口技术,机械工业出版社

[4]陈海宴.51单片机原理及应用[M].北京航空航天大学出版社,2010.

[5]杜礼霞.51系列单片机实验指导书,应用技术学院

[6]何立民. 单片机高级教程.第1版.北京:北京航空航天大学出版社,2001

[7]徐惠民、安德宁.单片微型计算机原理接口与应用.第1版.北京:北京邮电大学出版社,1996

[8]刘守义等.单片机技术基础[M].西安电子科技大学出版社,2007.

[9]钟富昭等.8051单片机典型模块设计与应用[M].人民邮电出版社,2007.

[10]李平等.单片机入门与开发[M].机械工业出版社,2008.

肖洪兵. 跟我学用单片机. 北京:北京航空航天大学出版社,2002.8

[11]王东峰等.单片机C语言应用100例[M].电子工业出版社,2009.

[12]赵晓安. MCS-51单片机原理及应用. 天津:天津大学出版社,2001.3

[13]李广第.单片机基础.第1版.北京:北京航空航天大学出版社,1999

[14]何立民.从Cygnal 80C51F看8位单片机发展之路.单片机与嵌入式系统应用,2002年,第5期:P5~8

[15]V. Yu. Teplov,A. V. Anisimov. Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier Effect[J] ,2002

[16]Yeager Brent.How to troubleshoot your electronic scale[J].. Powder and Bulk Engineering. 1995

[17]Meehan Joanne,Muir Lindsey.SCM in Merseyside SMEs:Benefits and

barriers[J].. TQM Journal. 2008

[18] Behzad Razavi.Design of Analog CMOS Integrated Circuits[M]. . 2001

[19] Rhee W.Design of high-performance CMOS charge pumps inphase-locked loops. IEEE International Symposium on Cir-cuits and Systems. 1999 [3] Todd Charles Weigandt.Low-phase-noise,low-timing-jitter design techniques for delay cell based VCOs and frequency synthesizers[C]//PHDthesis. . 1998

[20] George Lee,Karina Ng,Edmond Kwang.Design of ring oscillator based voltage controlled oscillator. Project Final Report[R]. 2005 [5] T. C. Weigandt,B. Kim,and P. R. Gray.Analysis of Timing Jitter in CMOS Ring Oscillators. IEEE International Symposium on Circuits and Systems. 199

- 13 -

附录A 系统整体电路

附录B 全部程序清单

ORG 0000H

AJMP KAISHI ;转主程序

ORG 0013H ;外部中断1矢量地址 AJMP INT_1 ;转外部中断程序1 ======主程序========

ORG 0100H

KAISHI:MOV SP,#60H

SETB EA ;开外部中断

SETB EX1 ;开外部中断1

SETB IT1

SETB P3.3

NEXT:MOV P1,#0FFH

MOV P2,#0FH ;选手指示灯初始状态全灭

MOV R4,#15

- 14 -

MOV A,#5

JNB P2.0,FEIFA1 ;判断主持人开关未按下时开关1是否抢答 JNB P2.1,FEIFA2 ;判断主持人开关未按下时开关2是否抢答 JNB P2.2,FEIFA3 ;判断主持人开关未按下时开关3是否抢答 JNB P2.3,FEIFA4 ;判断主持人开关未按下时开关4是否抢答 SJMP NEXT ;跳转到程序NEXT

FEIFA1:CLR P1.0 ;选手一的非法抢答处理程序

ACALL DINGSHI ;调用子程序DINGSHI

SETB P1.0

ACALL DINGSHI

SJMP FEIFA1

FEIFA2:CLR P1.1 ;选手二的非法抢答处理程序

ACALL DINGSHI

SETB P1.1

ACALL DINGSHI

SJMP FEIFA2

FEIFA3:CLR P1.2 ;选手三的非法抢答处理程序

ACALL DINGSHI

SETB P1.2

ACALL DINGSHI

SJMP FEIFA3

FEIFA4:CLR P1.3 ;选手四的非法抢答处理程序

ACALL DINGSHI

SETB P1.3

ACALL DINGSHI

SJMP FEIFA4

;======外部中断程序=========

ORG 0300H

INT_1:CLR P1.4

MOV A,#5

JNB P2.0,XS1 ;判断主持人开关按下时选手1是否抢答 JNB P2.1,XS2 ;判断主持人开关按下时选手2是否抢答 JNB P2.2,XS3 ;判断主持人开关按下时选手3是否抢答 JNB P2.3,XS4 ;判断主持人开关按下时选手4是否抢答

- 15 -

SJMP INT_1

RETI ;中断返回

XS1:CLR P1.0 ;选手1正确抢答处理程序ACALL DINGSHI

DJNZ R4,XS1

ST1:SETB P1.0

MOV A,#5

ACALL DINGSHI

CLR P1.0

ACALL DINGSHI

SJMP ST1

XS2:CLR P1.1 ;选手2正确抢答处理程序ACALL DINGSHI

DJNZ R4,XS2

ST2:SETB P1.1

MOV A,#5

ACALL DINGSHI

CLR P1.1

ACALL DINGSHI

SJMP ST2

XS3:CLR P1.2 ;选手3正确抢答处理程序ACALL DINGSHI

DJNZ R4,XS3

ST3:SETB P1.2

MOV A,#5

ACALL DINGSHI

CLR P1.2

ACALL DINGSHI

SJMP ST3

XS4:CLR P1.3 ;选手4正确抢答处理程序ACALL DINGSHI

DJNZ R4,XS4

ST4:SETB P1.3

MOV A,#5

- 16 -

ACALL DINGSHI

CLR P1.3

ACALL DINGSHI

SJMP ST4

;=======定时子程序=======

ORG 0400H

DINGSHI:MOV TMOD,#01H ;设置定时器为定时器0,方式1 MOV TL0,#0C0H

MOV TH0,#63H

MOV R3,A

SETB TR0

LOOP:JNB TF0,$

MOV TL0,#0C0H

MOV TH0,#63H

CLR TF0

DJNZ R3,LOOP

CLR TR0

RET

END

- 17 -

- 18 -

附录C PCB 模拟图

附录D 3D 仿真图

51单片机 4路抢答器

先说下我这个4路抢答器的功能: 5个按键,第五个是复位。第一个按键到第四个按键分别对应4个led 灯,只要1到4的其中任何一个按键按下,其对应的led灯就会亮,再按其他按键,不会有其他led灯亮。第五个按键进行复位,开始下一轮抢答。不多说直接上程序和protues仿真图如下: 注意:我试了下,程序有点小问题,(编译是完全通过的)我也没改出来,毕竟小弟我也才学,有大神知道的话可以给我说说,,谢谢。 #include//51头文件 sbit key0 = P3^0;//定义key0,为P3^0引脚 sbit key1 = P3^1;//定义key1,为P3^1引脚 sbit key2 = P3^2;//定义key2,为P3^2引脚 sbit key3 = P3^3;//定义key3,为P3^3引脚 sbit key4 = P3^4;//定义key4,为P3^4引脚 void main()//主函数 { while(1)死循环 { if(key0==0) {P1 = 0xfe;P3 = 0xf0;}//如果key0等于0,即闭合,led1亮,将其他三个按钮锁定为低电平 else if(key1==0) {P1 = 0xfd;P3 = 0xf0;}//如果key0等于1,即闭合,led2

亮,将其他三个按钮锁定为低电平 else if(key2==0) {P1 = 0xfb;P3 = 0xf0;}//如果key0等于2,即闭合,led3亮,将其他三个按钮锁定为低电平 else if(key3==0) {P1 = 0xf7;P3 = 0xf0;}//如果key0等于3,即闭合,led4亮,将其他三个按钮锁定为低电平 if(key4==0) //复位按钮按下闭合,则复位 { P1 = 0xff;P3 = 0xff; } } }

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

武汉工程大学单片机多路抢答器的课程设计资料

电气信息学院 单片机技术课程设计报告 课题名称多路抢答器的设计 专业班级10 电气4班 学号2010500238 __________________ 学生姓名________ 杨彬____________ 扌旨导教师______ 易先军___________ 评分_____________________________

2013年6月17日至6月21日

课程设计量化评分标准 指导老师评语:

答辩记录 1、例举设计过程中遇到的问题及其解决方法(至少两例)。答:(1)问题说明:对于采用独立式按键设计还是行列式按键设计有所困扰。 解决方法:行列式键盘是采用X*丫型按键来实现I/O的扩展的,这种按键的排 列方式可以有效的提高I/O 的利用率。 (2)问题说明:Proteus 软件中,从元器件库中调出的元件有的不能仿真。 解决方法:Proteus 里面又不是器件是没有仿真模型的,只是个原理图 符号,故必须选含仿真模型的器件。 2、教师现场提的问题记录在此(不少于2个问题)。 (1)Proteus 软件的主要功能是什么? 答:Proteus 软件可以仿真、分析各种模拟电路与集成电路,软件提供了大量模拟与数字元器件及外部设备,各种虚拟仪器,特别是它具有对单片机及其外围电路组成的综合系统的交互仿真功能。 (2)如果有多个按键几乎同时按下,你是如何来保证最先按下的按钮抢答成功的? 答:可以通过锁存器达到目的。当有第一个按键被按下时,锁存器将迅速锁存优先抢答者的按键状态,并能同时禁止其他选手按键,使其按键操作无效。

现如今生活娱乐的多元化已是现代的生活方式之一。知识、娱乐比赛更是流行于各行各业,而其中又以抢答形式为主。在抢答过程中,为了知道哪一组或 哪一位选手优先获得抢答权,必须要设计一个系统来完成这个任务,避免人的主观意识判断错误。在抢答中,只靠视觉是很难判断出哪组先答题。利用单片机系统来设计抢答器,使以上问题得以解决,即使两组的抢答时间相差甚小,也可分辨出哪组优先答题。此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 关键词:AT89C51单片机;抢答器;数码管;报警器 I

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

基于Multisim四路抢答器设计

EAD实训 课程设计报告 课题基于multisim的四路抢答器设计教师 专业13电气工程及其自动化 学号 姓名

2016、4、28 一.设计题目 基于Multisim四路抢答器设计 二.主要容 设计4人数字式竞赛抢答器 三.具体要求 (1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,组成设定一个抢答按钮供参赛者使用。 (2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被抢按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号, 并在LED数码管上显示出来,同时扬声器发生声响。此时再按其他 任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直 到主持人将系统清除为止。 四.进度安排 第一天上午:介绍设计所用仿真软件;布置任务,明确课程设计的完整功能和要求。 下午:图书馆查阅资料。 第二天全天:消化课题,了解设计要求,明确被设计系统的全部功能。

第三天全天:确定总体设计方案,画出系统的原理框图。 第四天全天:绘制单元电路并对单元电路进行仿真。 第五天全天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天上午:完成整体设计并仿真验证。 下午:准备课程设计报告。 第七天上午:对课程设计进行现场运行检查,给出实践操作成绩。五.成绩评定 成绩分为三部分:考勤占30%,实践操作占40%,课程设计报告占30% 基于Multisim四路抢答器设计 一.设计容 基于Multisim四路抢答器设计 二.设计目的及要求 1.掌握抢答器的工作原理及其设计方法 2. 学会用Multisim10软件操作实验容 3. 掌握设计性试验的实验方法 基本要求: (1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化 *班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的 情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作 用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状 态中。 1、设计一个可供 4 人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。 3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号 一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。 并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10 秒,当主持人启动“开始” 开关后,定时器开始减计。 5、设定的抢答时间内,选手可以抢答,这时定时器开始工作,显示器上显示 选手的号码和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时 扬声器报警发出声音,定时器上显示 0。

基于单片机STC89C52RC的八路抢答器课程设计报告75092282

基于单片机STC89C52RC的八路抢答器课程设计报告75092282

信息与电子工程学院 课程设计报告 课程单片机技术应用 设计题目基于单片机STC89C52RC的八路抢答器专业应用电子技术 班级11级4班 成员姓名学号分工成绩 软件部分 硬件部分

目录 一、课程设计概述.................................................................................................................... - 1 - 1.1课程设计背景 (1) 1.2课程设计内容 (1) 1.3课程设计技术指标 (1) 二、方案的选择及确定............................................................................................................ - 1 - 2.1方案一:集成数字电路 (1) 2.2方案二:单片机 (2) 2.3方案分析比较: (2) 三、硬件设计............................................................................................................................ - 3 - 3.1系统硬件设计 (3) 3.2复位电路的设计 (3) 3.3时钟电路设计 (3) 3.4显示电路设计 (4) 3.5按键电路设计 (5) 3.6报警电路设计 (6) 3.7电源模块设计 (7) 四、系统软件设计.................................................................................................................... - 7 - 4.1系统的功能流程 (7) 4.2主程序流程图 (7) 五、系统调试过程.................................................................................................................... - 9 - 5.1软件调试 (9) 5.2硬件调试 (10) 六、总结.................................................................................................................................. - 13 - 七、遇到的问题及解决方法.................................................................................................. - 13 - 八、参考文献.......................................................................................................................... - 13 - 九、附录.................................................................................................................................. - 14 - 9.1仪器与设备 (14) 9.2元器件清单 (14)

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

单片机课程设计八位竞赛抢答器的设计

单片机原理及接口技术 课程设计 八位竞赛抢答器的设计 姓名: 学号: 指导教师: 院系(部所):机电工程学院 专业:机械设计制造及其自动化 完成日期:2012年12月20日

摘要 随着单子技术的飞速发展,基于单片机的控制系统已广泛应用与工业、农业、电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。本设计是以八路抢答为基本理念。考虑到需设定限时回答的功能呢个,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间和抢答的号码。用开关做键盘输出,扬声器发生提示,并且有警告灯显示,正常工作时为绿灯,报警或抢答等违规信号时则出现红灯。 关键词:AT89C51;抢答器;计数器

目录 1概述 (1) 2 抢答器的硬件系统设计 (3) 2.1 系统整体方案设计 (3) 2.2 系统硬件组成 (3) 3 最小系统与主控模块的设计与实现 (5) 3.1 单片机最小硬件系统的组成简述 (5) 3.1.1 电源电路 (5) 3.1.2 时钟电路 (6) 3.1.3 复位电路 (7) 3.2 主流程图 (8) 4 模块的设计与实现 (9) 4.1 抢答电路的设计 (9) 4.2 锁存器74HC573 (9) 4.3 主持人控制电路与扬声器的设计...................... 错误!未定义书签。 4.4 显示电路的设计.................................... 错误!未定义书签。 5 软件的设计 (12) 5.1语言选择 (12) 5.2软件总体设计 (12) 总结 (13) 参考文献 (15) 致谢 (16) 附录 (17)

基于AT89C51单片机的四路抢答器课程设计

河北建筑工程学院 课程设计计算说明书 题目名称:单片机原理及应用课程设计 院系:机械工程学院 专业:机械电子工程 班级:机电112 学号: 2011322214 学生姓名:孙宏财 指导教师:张东辉 职称:讲师 2014年 7 月 3 日

目录 前言 (2) 一、硬件系统设计 (3) 1、硬件设计思路及系统框图 (3) 2、系统分析 (3) 3、抢答器总电路图 (4) 二、软件系统设计 (5) 1、系统功能分析及程序流程图 (5) 2、软件系统程序的编制 (6) 3、运用Keil软件进行程序的调试 (9) 三、基于P ROTEUS和K EIL的软硬件联合仿真 (10) 1、上电初始状态 (10) 2、开始按钮按的状态 (10) 3、有人抢答的状态 (11) 四、心得与体会 (12) 五、参考文献 (12)

基于AT89C51单片机的抢答器设计 前言 单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分为如下几个范畴。 1、在智能化仪表上的应用。 2、在工业控制中的应用。 3、在家电中的应用。 4、在计算机网络和通信领域中的应用。 5、单片机在医疗设备领域中的应用。 目前世界上单片机生产商很多,如INTEL、Motorola、NEC、AMD等公司其主流产品有几十个系列,几百个品种。 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用单片机的应用介绍

四路抢答器课程设计(含protues仿真文件)汇总

课程设计说明书 2015-2016 学年第 1 学期 学院: 专业: 学生姓名:学号:31 课程设计题目:4路抢答器(含直流电源)制作指导教师: 日期:2015-12-18

目录 1、课程设计目的 (3) 2、课程设计内容和要求 2.1、设计内容 (3) 2.2、设计要求 (3) 3、设计方案 (3) 3.1.1、设计电路功能的分析 (3) 3.1.2、方案论证 (4) 3.2、主要芯片介绍 (4) 3.3、抢答电路设计 (8) 3.4、显示电路设计 (9) 3.5、555震荡电路设计 (9) 3.6、电源电路 (10) 3.7、时钟电路 (10) 3.7、违规控制 (11) 3.9、整体电路 (11) 4、课程设计总结 (12) 5、参考文献 (12)

1 课程设计的目的 1,了解抢答器电路设计的基本实现原理; 2,掌握时序逻辑电路的逻辑功能和使用方法; 3、Protues仿真。 2 课程设计的设计和要求 2.1 设计内容 1,查阅所用器件技术资料,详细说明设计的抢答器电路工作流程; 2,抢答器电路采用与编码器、译码器、定时器、电阻、电容、与或非门等电子器件构成,参加组数为四组。 3,电路可以实现由选手按键先后判断谁获得答题权;抢答成功时点亮相应的指示灯,且抢答成功选手号数在数码管上显示。 4,主持人没有按抢答开始按键时,若有人抢答,抢答无效,且该选手直接取消抢答资格; 5, 抢答开始后有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。 2.2 设计要求 基本要求: (1) 在抢答开始后,若有参赛者按下抢答按钮,能准确判断出最先按下抢答按钮的参赛选手,并把其编号显示出来。 (2) 成功抢答后,若再有人按下抢答按钮,抢答状态不发生改变。 (3)具有复位功能,按下复位按钮,可进入下一次抢答准备阶段。 (4)要完成作品的仿真演示(用proteus仿真软件实现)和实物制作(万能板上焊接电路),且作品设计中只能用编码器、译码器、定时器、电阻、电容、与或非门等基本器件或芯片,不可以用单片机或PLC等控制器。 (5)作品工作电压只提供交流220V电源,作品中所用其他电压由交流220V 电源进行转换供给,电源转换电路须自行设计制作。 发挥部分: (1)抢答开始后要有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。 (2)若抢答者违规,能实现用指示灯记录违规情况,达到一定违规次数后有相应处理措施。 (3)在实现上述功能外,可自行设计增加具有创新特色的抢答器附加功能。 3 方案的设计 3.1.1设计电路功能的分析 通过任务书可知该电路的功能为:1,主持人还没说开始抢答,选手不能抢答,如果有选手在主持人还没说开始抢答时抢答会被视为违规操作,违规者直接取消抢答资格;2,主持人说开始抢答时,选手开始抢答,抢答开始后有20S抢答倒计时;3,抢答时,分辨出选手按键的先后并锁存优先抢答器的编号,使非优先者抢答无效;4,抢答成功的人对应的发光二级管亮并数码管显示对应的号数;5,抢答时间有60秒,60秒后自动复位,若60秒内回答完,可以手动复位。

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

相关文档
最新文档