电子钟设计报告

电子钟设计报告
电子钟设计报告

电子钟设计报告

《电子钟设计报告》

别: 自动化系

专业班级: 自动化0801

学生姓名: 陈金元

指导教师: 李川香

2010年10月

华中科技大学武昌分校

目录

摘要........................................................ ............. .. (2)

1电子钟的总体方案设计........................... .......................................... ........................3 1.1 设计要求................................................ ..................... .................................................3 1.2 设计方案............................................... ...................... .................................................3 1.3 各模块的功能............................................ ......................... .........................................3 1.4电子钟的组成框图.................................... ................................. ..................................4 2单元模块电路设计与仿

真...................... ............................................... ........................4 2.1 秒模块的设计............................................ ......................... .........................................4 2.2 分模块的设计............................................. ........................ .........................................6 2.3 小时模块的设计....................................... .............................. .....................................6 2.4八进制模块的设计.................................... ................................. ..................................8 2.5译码显示电路模块的设计...................................................................... ......................9 2.6扫描显示模块的设计................................. .................................... ..............................10 2.7整点报时模块的设计............................. ........................................ ..............................11 3 电子钟的顶层设计................................ ..................................... ....................................12 3.1 电子钟的逻辑原理图.................. ... ............................................... .............................12 3.2 电子钟的主程序....................................................... .............. .....................................13 3.3 电子钟的引脚锁定............................ ......................................... .................................16 4 硬件支

持................................ ......... ........................... ...................................................16 4.1 系统供电.................. ... .................. ........................... ..................................................16 4.2独立I/O口配置....................................................... .............. ...................................17 4.3 LED指示灯............................ .............. .......................... . ............................................17 4.4无源蜂鸣器......................... ...... ........................... ......... ..........................................18 4.5矩阵键

盘.. ...................... ........................... ................ .. ........................... .................18 4.6数码管外部电路......................... ......... ........................... ...... ........................................19 5编程下载与调试..................................... ........................... .... ............................................19 心得体会............................ ...................... .................. ......... .. (20)

参考文献...................................................... ............... .. (21)

致谢............................................................. ........ (21)

- 1 -

摘要

随着计算机性价比的提高及可编程逻辑器件的出现,对传统的数字电子系统设计方法进行了解放性的革命,现代电子系统设计方法是设计师自己设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。从20世纪90 年代初开始,电子产品设计系统日趋数字化、复杂化和大规模集成化,各种电子系统的设计软件应运而生。

在这些专业化软件中,电子设计自动化EDA(Electronic Design Automation) 具有一定的代表性,EDA 技术是一种基于芯片的现代电子系统设计方法。它的优势主要集中在能用HDL 语言进行输入、进行PLD(可编程器件)的设计与仿真等系统设计自动化上;20 世纪90 年末,可编程器件又出现了模拟可编程器件,由于技术、可操作性及性价比的影响,今后EDA 技术会向模拟可编程器件的设计与仿真并占据市场的一定份额。方向发展,

复杂可编程逻辑器件(CPLD)和现场可编程门阵列(FPGA)是近年来迅速发展的大规模可编程专用集成电路(ASIC) ,在数字系统设计和控制电路中越来越受到重视。集成电路技术和计算机技术的蓬勃发展,让电子产品设计有了更好的应用市场,实现方法也有了更多的选择。传统电子产品设计方案是一种基于电路板的设计方法,该方法需要选用大量的固定功能器件,然后通过这些器件的配合设计从而模拟电子产品的功能,其工作集中在器件的选用及电路板的设计上。

数字电子钟是一种具有自动显示时间,整点报时功能的电子钟,利用EDA技术,对其编程实现是电子设计自动化的充分体现。本次即是利用VHDL语言,在MAX PLUS II中编程实现一个电子钟,进行功能仿真,并且在FPGA的芯片上下载实现。

设计中构造了秒模块、分模块、小时模块、八进制模块、扫描显示模块、译码显示模块以及整点报时模块等七个模块,对电子钟进行模块化设计。在顶层文件里对各个模块进行例化,锁定管脚后下载到FPGA上实现。

关键词:电子设计自动化 FPGA 电子钟模块化---

- 2 -

1 电子钟总体设计方案

1.1 设计要求

设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时。具体要求如下:

,计时状态;AB=01为模式1,手动校时状态; A、B:模式选择,AB=00为模式0 Turn:turn=0时,在手动校对时,选择调整分钟部分;turn=1时,在手动校对时,选择调整小时部分。

Change:在手动校时设置模式下,每按一次,计数器加1。

Reset:reset=0时,整个系统复位;

reset=1时,系统计时或其它特殊功能操作。

状态显示信号(发光管):

LD_h:指示当前调整的是小时信号;

LD_m:指示当前调整的是分钟信号。

利用发光二极管进行整点报时。

扩展要求

1. 增加小时、分钟、秒的键盘直接修改功能;

2. 设计闹钟功能,最多支持5个闹钟,可分别查看和修改闹钟时间,可分别

设置闹钟开关。

3. 增加万年历功能。

1.2 设计方案

本设计用FPGAFPGA来实现,经分析设计要求,整个设计由7大模块构成,即:秒模块、分模块、小时模块、译码显示电路模块、扫描显示模块、八进制模块和整点报时模块。

1.3 各模块的功能

秒模块与分模块是一个六十进制的循环计数器,由时钟脉冲控制计数,每到六十就产生进位。小时模块是一个二十四进制循环计数器,由时钟脉冲控制计数,不产生进位。这三个模块都具有异步复位与同步使能的功能,秒模块的时钟由系统给定,分模块由秒模块的进位产生,小时模块由分模块的进位产生。八进制模块是一个循环八进制计数模块,计数脉冲由系统给定,输出控制扫描显- 3 -

示脉冲和38译码器。

扫描显示模块用来选择秒模块、分模块、小时模块中产生的信号中何种输出到数码管,由一个计数脉冲控制。

译码显示电路模块将输入的信号对应到数码管显示数字。

整点报时模块到整点时利用发光二极管进行整点报时。

1.4 电子钟的组成框图

2 各单元模块化设计与仿真

2.1 秒模块的设计

秒模块是输入信号为1HZ而输出是两个四位数组以及一个进位,设计思路是个六十进制的计数器。其设计原理如下:

由复位键RST控制异步复位,‘0’表示复位,‘1’表示正常计数;EN键作为使能端,‘1’表示正常计数,‘0’表示暂停;输入信号1HZ由系统给定;六十进- 4 -

制是利用两个计数器(秒个位为十进制,秒十位为六进制),每到六十产生进位输出到分模块,并将秒个位与秒十位输出。

秒模块的源程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY MIAO IS

PORT(RST,EN,CLK:IN STD_LOGIC;

SEC1,SEC0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CO:OUT STD_LOGIC);

END MIAO;

ARCHITECTURE MIAOA OF MIAO IS

BEGIN

PROCESS(CLK,RST)

VARIABLE CNT1,CNT0:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF RST='0' THEN

CNT1:="0000";

CNT0:="0000";

ELSIF CLK 'EVENT AND CLK='1' THEN

IF EN='1'THEN

if CNT0="1001"and CNT1="0101"then

CO<='1' ;

else

CO<='0' ;

end if;

IF CNT0="1001"THEN

CNT0:="0000";

IF CNT1="0101"THEN

CNT1:="0000";

- 5 -

ELSE

CNT1:=CNT1+1;

END IF;

else

CNT0:=CNT0+1;

END IF;

END IF;

END IF;

SEC1<=CNT1;

SEC0<=CNT0;

END PROCESS;

END MIAOA;

秒模块的仿真时序图如下(图3-1):

图3-1

2.2 分模块的设计

分模块与秒模块的设计完全一样,只需要将实体名改为MINA。不将赘述。

2.3 小时模块的设计

小时模块的设计有点区别,就是计数长度为二十四,而不是六十,并且不产生进位输出。其它的完全一样。

小时模块的源程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;

- 6 -

ENTITY Xiaoshi IS

PORT(RST,EN,CLK:IN STD_LOGIC;

H1,H0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END Xiaoshi;

ARCHITECTURE XiaoshiA OF Xiaoshi IS

BEGIN

PROCESS(CLK,RST)

VARIABLE CNT1,CNT0:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF RST='0' THEN

CNT1:="0000";

CNT0:="0000";

ELSIF CLK 'EVENT AND CLK='1' THEN

IF EN='1'THEN

IF CNT0="0011"AND CNT1="0010"THEN

CNT0:="0000";

CNT1:="0000";

ELSIF CNT0<"1001"THEN

CNT0:=CNT0+1;

ELSE

CNT0:="0000";

CNT1:=CNT1+1;

END IF;

END IF;

END IF;

H1<=CNT1;

H0<=CNT0;

END PROCESS;

END XiaoshiA;

小时模块的仿真时序图如下(图3-2)

- 7 -

图3-2

2.4 八进制模块的设计

八进制模块就是一个八进制循环计数器,由系统给定的时钟作为计数脉冲,为KHZ级别以上的,输出一个三位数组。

源程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity JISHU is

port(clk1 : in std_logic;

ji: out std_logic_vector(2 downto 0)); end JISHU;

architecture beha of JISHU is

signal cnt: std_logic_vector(2 downto 0); begin

process(clk1)

begin

if clk1' event and clk1 = '1' then

if cnt = "111" then

cnt <= "000";

else

cnt <= cnt + 1;

end if;

end if;

- 8 -

end process;

ji<=cnt;

end beha;

八进制模块的仿真时序图如下(图3-3)

图3-3

2.5 译码显示电路模块的设计

输入为一个三位数组,对数组进行译码,对应到七段数码管的显示端。源程序如下:

library ieee;

use ieee.std_logic_1164.all; entity YIMA is

port(d:in std_logic_vector(3 downto 0);

q: out std_logic_vector(6 downto 0));

end YIMA;

architecture YIMAA of YIMA is

begin

process(d)

begin

case d is

when "0000" =>q<="0111111";

when "0001" =>q<="0000110";

when "0010" =>q<="1011011";

when "0011" =>q<="1001111";

when "0100" =>q<="1100110";

when "0101" =>q<="1101101";

when "0110" =>q<="1111101";

when "0111" =>q<="0100111";

when "1000" =>q<="1111111";

- 9 -

when "1001" =>q<="1101111";

when others =>q<="0000000";

end case;

end process;

end YIMAA;

译码显示电路模块的仿真时序图如下(图3-4)

图3-4

2.6 扫描显示模块的设计

利用一个八进制的循环计数,对输入的秒个位、秒十位、分个位、分十位、时个位、时十位进行选择输出。

源程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY BBB IS

PORT(SEC1,SEC0,MIN1,MIN0,H1,H0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END BBB;

ARCHITECTURE BBBA OF BBB IS

BEGIN

PROCESS(SEL)

BEGIN

CASE SEL IS

WHEN"000"=>Q<=SEC0;

WHEN"001"=>Q<=SEC1;

WHEN"011"=>Q<=MIN0;

WHEN"100"=>Q<=MIN1;

- 10 -

WHEN"110"=>Q<=H0;

WHEN"111"=>Q<=H1;

WHEN OTHERS=>Q<="1111";

END CASE;

END PROCESS;

END BBBA;

扫描显示模块的仿真时序图如下(图3-5)

图3-5

2.7 整点报时模块的设计

设计原理:输入为秒个位、秒十位、分个位、分十位、时个位、时十位,输出为一个四位数组,控制二极管。当时间为59分59秒或00分00秒或00分01秒时发光二极管灯亮,并且能利用发光二极管的亮灯个数确定时间。源程序如下: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY baoshi IS

port(hh1,hh0,mm1,mm0,ss1,ss0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

led_led:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

end ENTITY baoshi;

ARCHITECTURE baoshiA OF baoshi IS

begin

process(hh1,hh0)

- 11 -

begin

if hh1="0000" then

if hh0<"1010" then

if mm1="0101"and mm0="1001"and ss1="0101"and ss0="1001"then led_led<=hh0+1;

elsif(mm1="0000"and mm0="0000"and ss1="0000"and

ss0="0000")or(mm1="0000"and mm0="0000"and ss1="0000"and

ss0="0001") then

led_led<=hh0;

else

led_led<="0000";

end if;

end if;

end if;

end process;

end ARCHITECTURE baoshiA; 报时模块的仿真时序图如下(图3-6)

图3-6

3 顶层设计

3.1 电子钟的逻辑原理图

- 12 -

3.2 主程序的设计

IBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY shuzizhong IS

PORT(A,B,change,turn:IN STD_LOGIC;

RESET,EN0,CLK0,CLK01:IN STD_LOGIC;

LED:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

ledhh,ledmm:OUT STD_LOGIC;

sell:out STD_LOGIC_VECTOR(2 DOWNTO 0);

segd:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END shuzizhong; ARCHITECTURE shuzizhongA OF shuzizhong IS COMPONENT MIAO IS -------生成秒模块

PORT(RST,EN,CLK:IN STD_LOGIC;

SEC1,SEC0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CO:OUT STD_LOGIC);

END COMPONENT MIAO;

COMPONENT MINA IS ----生成分模块

PORT(RST,EN,CLK:IN STD_LOGIC;

- 13 -

MIN1,MIN0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CO:OUT STD_LOGIC);

END COMPONENT MINA;

COMPONENT Xiaoshi IS --------生成时模块

PORT(RST,EN,CLK:IN STD_LOGIC;

H1,H0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT Xiaoshi;

COMPONENT YIMA IS ---------生成译码显示模块

port(d:in std_logic_vector(3 downto 0);

q: out std_logic_vector(6 downto 0));

end COMPONENT YIMA;

COMPONENT BBB IS ---------生成扫描显示模块

PORT(SEC1,SEC0,MIN1,MIN0,H1,H0:IN STD_LOGIC_VECTOR(3 DOWNTO 0); SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT BBB ;

COMPONENT JISHU IS ----------生成八进制模块

port(clk1 : in std_logic;

ji: out std_logic_vector(2 downto 0));

END COMPONENT JISHU ;

COMPONENT baoshi IS ---------生成报时模块

port(hh1,hh0,mm1,mm0,ss1,ss0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

led_led:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT baoshi ;

SIGNAL SE1,SE0,MI0,MI1,H00,H01,CC: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL C1,C2,C3,C4: STD_LOGIC;

SIGNAL yi:std_logic_vector(2 downto 0);

BEGIN

U1:MIAO PORT

- 14 -

MAP(RST=>RESET,EN=>EN0,CLK=>CLK0,SEC0=>SE0,SEC1=>SE1,CO=>C1);

U2:MINA PORT

MAP(RST=>RESET,EN=>EN0,CLK=>C2,MIN0=>MI0,MIN1=>MI1,CO=>C3);

U3:Xiaoshi PORT MAP(RST=>RESET,EN=>EN0,CLK=>C4,H0=>H00,H1=>H01); U4:BBB PORT

MAP(SEC1=>SE1,SEC0=>SE0,MIN1=>MI1,MIN0=>MI0,H1=>H01,H0=>H00,SEL=> yi,Q=>CC);

U5:YIMA PORT MAP(d=>CC,q=>segd);

U6:JISHU PORT MAP(clk1=>CLK01,ji=>yi);

U7:baoshi PORT

MAP(hh1=>H01,hh0=>H00,mm1=>MI1,mm0=>MI0,ss1=>SE1,ss0=>SE0,led_led =>LED);

sell<=yi;

process(A,B)

BEGIN

IF A='0'AND B='0'then

C2<=C1;

C4<=C3;

ledmm<='0';

ledhh<='0';

end if;

if A='0'AND B='1'then

if turn='0'then

C2<=change;

ledmm<='1';

ledhh<='0';

elsif turn='1'then

C4<=change;

ledhh<='1';

ledmm<='0';

- 15 -

end if;

end if;

end process;

END shuzizhongA;

3.3电子钟的引脚锁定

LED数码显示:segd0---144; segd1---8; segd2---9; segd3---10; segd4---12

Segd5---13; segd6---17;

开关:A---32;B---33;EN0---36;RST---37;TURN---38; 按键:CHANGE---28;

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

单片机电子时钟课程设计报告报告

目录 1、引言 (3) 2、总体设计 (4) 3、详细设计 (5) 3.1硬件设计 (5) 3.2软件设计 (10) 4、实验结果分析 (26) 5、心得体会 (27) 6、参考文献 (27)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习、应用,以AT89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机AT89C51

1.引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

基于单片机的电子时钟设计报告(LCD显示)

单片机原理及应用课程设计任务书 题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 单片机原理及应用课程设计任务书

题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 基于AT89C51单片机的电子时钟设计报告

一、设计要求与目的 1)设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间。 2)、使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。3)、用3个功能键操作来设置当前时间。 4)、熟悉掌握proteus编成软件以及keil软件的使用 二、本设计原理 本设计以AT89C51单片机为核心,通过时钟程序的编写,并在LCD显示器上显示出来。该编程的核心在于定时器中断及循环往复判断是否有按键操作,并对每个按键的操作在LCD显示器上作出相应的反应。由于LCD显示器每八位对应一个字符,故把秒、分、时的个位和十位分开表示。 该课题中有三个控制开关KM1、KM2、KM3分别控制时、分、秒的调整,时间按递增的方式调整,每点一次按钮则相应的时间个位加以,且时间调整不干扰其他为调整时间的显示。 三、硬件设计原理(电路) 硬件电路原理图

数字电子钟设计报告

《电子线路课程设计报告》 系别:自动化 专业班级:自动化0803 学生姓名:冯刚 指导教师:朱定华 (课程设计时间:2010年05月31日——2010年06月12日) 华中科技大学武昌分校

目录 1.课程设计目的 (3) 2.课程设计题目描述和要求 (3) 3.课程设计报告内容.....................................................................3-9 3.1实验名称 (3) 3.2实验目的 (3) 3.3实验器材及主要器件 (3) 3.4数字电子钟基本原理 (4) 3.5数字电子钟单元电路设计、参数计算和器件选择..............................4-8 3.6数字电子钟电路图 (8) 3.7数字电子钟的组装与调试............................................................8-9 4.总结 (9) 参考文献 (10)

1.课程设计目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.课程设计题目描述和要求 (1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结报告; (4)选做:整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 3.课程设计报告内容 3.1实验名称 数字电子钟 3.2实验目的 ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 3.3实验器材及主要器件 (1)74LS48(6片)(2)74LS90(5片)(3)74LS191(1片)(4)74LS00(5片)(5)74LS04(3片)(6)74LS74(1片)(7)74LS2O(2片) (8)555集成芯片(1片) (9)共阴七段显示器(6片)(10)电阻、电容、导线等(若干)

基于单片机的电子时钟课程设计报告

目录 一、引言········ 二、设计课题········· 三、系统总体方案········· 四、系统硬件设计······ 1.硬件电路原理图 2.元件清单 五、系统软件设计········· 1.软件流程图 2.程序清单 六、系统实物图········ 七、课程设计体会········ 八、参考文献及网站········· 九、附录·········

一.引言 单片机因将其主要组成部分集成在一个芯片上而得名,就是把中央处理器、随机存储器、只读存储器、中断系统、定时器/计数器以及I/O接口电路等部件集成在一个芯片上。 基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。 数字钟是采用数字电路实现对日期、时、分、秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 本设计使用12MHZ晶振与单片机AT89C51相连接,以AT89C51芯片为核心,采用动态扫描方式显示,通过使用该单片机,加之在显示电路部分使用HD74LS373驱动电路,实现在8个LED数码管上显示时间,通过4个按键进行调时、复位等功能,在实现各功能时数码管进行相应显示。软件部分用C语言实现,分为显示、延迟、调时、复位等部分。通过软硬件结合达到最终目的。

多功能数字电子钟-数电课程设计

华南理工大学广州学院 数字电路课程设计报告 题目:多功能数字电子钟 专业:自动化 班级:一班 姓名:姚楸 同组队员:陈杰涛、姚楸、李卓鸿、刘志健、 吴壁文、陈孟鹏、黎杰豪、江泉河 学号: 201130087082 日期:2013年1月

一、设计目的 为了巩固课本所学知识,培养动手能力和实际解决问题的能力,加深对课 堂知识的理解和运用,进一步学习和熟悉各种常用芯片的规格和使用,能 掌握电路的组装和基本问题的排除。通过课程设计要实现以下两个目标:一、学生初步掌握电子线路的设计、组装及调试方法。即学生根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计要求和设计指标: a设计一个能显示时、分、秒的数字钟,显示时间从00:00:00到23:59:59; b设计的电路包括产生时基信号,时、分、秒的计时电路,显示电路。 c具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; d计时过程具有整点报时功能,当时间到达整点前10秒进行蜂鸣报时 三、总体框图设计 本设计通过555定时器产生1HZ的方波通过加法器进行计数, 计数后产生的BCD码通过译码器译码最后通过数码管显示出 来。

四、功能模块设计和原理说明 1、秒脉冲发生器 秒信号发生电路由集成电路555定时器与RC组成的多谐振荡器构成。555

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字电子时钟课程设计总结报告

《数字逻辑电路设计》课程设计 总结报告 题目:数字电子钟设计 指导教师: 设计人员: (学号): 班级:

日期:2018年12月

一.设计任务书 任务:数字电子钟设计 基本设计要求:仿真实现数字电子钟 1.要求能显示“时”“分”“秒” 2.时24小时,分60分钟,秒60。 3.能够校时,校分 电路在实验箱上实现 二.设计框图及整机概述 设计框图: 概述:数字电子时钟电路系统由秒信号发生器、校分校时电路、“时、分、秒”计数器和“时、分、秒”显示器组成。秒信号发生器将秒信号送入秒计时器,秒计时器为六十进制计数器,每计六十个数便发送分脉冲信号给分计数器,分计数器也为六十进制计数器,每计六十个数便发送时脉冲信号给时计数器,时计数器是二十四进制计数器。“时、分、秒”显示器将计数器输

出的状态显示出来。 三.各单元电路的设计方案及原理说明 1.六十进制计数器 计数器是对cp脉冲进行计数的时序逻辑电路。“分”和“秒” 的计数由六十进制计数器实现,74LS161为16进制计数器, 两片74LS161EP和ET恒为1,均工作在计数状态,当分个位 和秒个位计数器计到9(1001)时,CLOR端为高电平,经反 相器后使时位CLK端为低电平。当下一个计数输入脉冲到达后,个位记成0(0000),此时CLOR端跳回低电平,时位计数1。 计数器从0开始计数,当计入60个脉冲时,经与非门产生低 电平,立即将两片74LS161同时置零,得到60进制计数器。 2.二十四进制计数器 时的计数由二十四进制计数器实现,当计入24个脉冲的

时候,经与非门产生的低电平信号即将两片74LS161同时置零,得到二十四进制计数器。 3.显示电路 计数器输出的是8421BCD码,需译码器将其转为阿拉伯数字。 4.校时电路 利用校时电路截断分十位和时十位的直接计数通路,当校时电路中的开关截断时,其中的与非门一端接高电平,另一端接秒/分十位的进位输出端,若秒/分十位的进位输出端输出的是低电平,则分/时个位的CLK有低电平的信号输入,此时得到

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

基于Multisim的数字电子时钟设计报告

大学大数据与信息工程学院 基于Multisim的数字电子时钟设计报告 学院:大数据与信息工程学院 专业:电子科学与技术 班级:151 学号:1500890151 学生:宋磊 指导教师:郭祥 2017年7月20日

目录 一、设计目的与要求 (1) 1.1设计目的 (1) 1.2设计要求 (1) 二、基本元器件的选择与原理 (1) 2.1 555定时器 (1) 2.2 74LS390D计数器 (2) 2.2.1 分、秒位实现六十进制 (3) 2.2.2 小时位实现二十四进制 (3) 2.2.3 星期位实现七进制 (4) 2.3 显示器 (5) 2.4 其他元器件 (6) 三、虚拟实验平台与仿真 (6) 3.1 手动校准功能的实现 (6) 3.2 整点报时功能的实现 (6) 3.3 设计从设计从220V交流~6V直流 (7) 3.4 数字电子时钟功能的实现 (7) 附录设计总结与心得体会 (9)

一、设计目的与要求 1.1设计目的 用中、小规模集成电路设计日、时、分、秒的电子钟。 1.2设计要求 1)用555定时器产生1Hz秒信号; 2)秒、分为00~59六十进制; 3)时为00~23二十四进制; 4)星期为1~7七进制; 5)日、时、分可手动校准; 6)具有整点报时功能; 7)设计从220V交流~6V直流。 二、基本元器件的选择与原理 2.1 555定时器 单稳态触发器和施密特触发器主要用于脉冲的整形,多谐振荡器则用于产生脉冲信号。而利用555集成定时器,可以方便地构成施密特触发器、单稳态触发器和多谐振荡器,并且带负载能力较强。

此次数字电子钟的计数脉冲则由多谐振荡器提供。脉冲频率取决于555定时器电路。 在Multisim13下构建多谐振荡器,如图2.1: 图2.1 振荡频率:f=1.43/[(R9+2R10)C1] 振荡周期:T=1/f 2.2 74LS390D计数器 计数器——用于统计输入脉冲CP个数的电路。 本次设计统一采用74LS390D计数芯片,74LS390D是一种双四位十进制计数器。其功能表如表2.1所示。 表2.1 BCD计数顺序

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 电路框图: 图一 数字时钟电路框图 电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位

单片机电子时钟课程设计设计报告

单片机电子时钟设计 一、作品功能介绍 该作品是个性化电子钟设计,技术上主要用单片机(AT89S52)主控,6位LED数码显示,分别显示“小时:分钟:秒”。该作品主要用于24小时计时显示,能整时报时,能作为秒表使用,能定时闹铃1分钟。 功能介绍: (1)上电以后自动进入计时状态,起始于00:00:00。 (2)设计键盘调整时间,完成时间设计,并设置闹钟。 (3)定时时间为1/100秒,可采用定时器实现。 (4)采用LED数码管显示,时、分,秒采用数字显示。 (5)采用24小时制,具有方便的时间调校功能。 (6)具有时钟和秒表的切换功能。 使用方法: 开机后时钟在00:00:00起开始计时。 (1)长按P3.2进入调分状态:分单元闪烁,按P3.2加1,按P3.3减1.再长按P3.2进入时调整状态,时单元闪烁,加减调整同调分.按长按退出调整状态。 (2)(2)按P3.3进入设定闹时状态: 12:00: ,可进行分设定,按P3.4分加1,再按P3.2为时调整,按P3.4时加1,按P3.3调闹钟结束.在闹铃时可按P3.2停闹,不按闹铃1分钟。 (3)按下P3.4进入秒表状态:再按P3.4秒表又启动,按P3.4暂停,再按P3.4秒表清零,按P3.4退出秒表回到时钟状态。 二、电路原理图 如原理图所示,硬件系统主要由单片机最小应用系统、LED数码管显示模块、电源模块、晶振模块、按键模块等组成。

电子时钟原理图 各个模块设计 1.单片机系统 AT89S52 AT89S52概述:是一款非常适合单片机初学者学习的单片机, 它完全兼容传统的8051,8031的指令系统,他的运行速度 要比8051快最高支持达33MHz的晶体震荡器,在此系统中 使用12MHz的晶振。 AT89S52具有以下标准功能: 8k字节Flash,256字节 RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三 个16 位定时器/计数器,一个6向量2级中断结构,全双 工串行口,片内晶振及时钟电路。另外,AT89S52 可降至 0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模 式下,CPU停止工作,允许RAM、定时器/计数器、串口、中 断继续工作。掉电保护方式下,RAM内容被保存,振荡器被

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

设计报告可调电子时钟

《单片机实训》设计报告 题目:可调电子时钟的设计 学院: 专业: 班级: 姓名: 学号: 指导老师:

一、实验内容 利用CPU的定时器和实验仪上提供的数码显示电路,设计一个可调电子时钟。显示格式如下:XX XX XX ,由左向右分别为:时、分、秒。同时还可以通过键盘(开关)对电子时钟的时间进行加减,达到可调。 二、实验内目的 1、掌握定时器的使用和编程方法; 2、掌握中断处理程序的编程方法; 3、掌握数码显示电路的驱动程序编程方法; 4、掌握键盘电路的程序编程方法; 5、掌握模块子程序的编程方法; 6、掌握硬件的线路的设计及连线方法。 三、实验说明 设计定时器每50ms中断一次,在中断服务程序中,对中断次数进行计数,50ms 计数20次,就是1秒,然后再对秒计数得到分的值,对分计数得到小时的值,分别将各值送到相应的段地址端口和位地址端口,通过数码管显示结果,达到电子时钟的效果。在电子时钟的基础上,程序增加了键盘程序,对电子时钟进行可调(对秒/分/时进行加/减,此功能的增加使电子时钟功能更强、更实用。 四、硬件电路设计原理图 图1 总电路图 R3 图2 键盘控制电路

图3 显示部分电路图 五、软件设计 (一) 程序设计框图

(二) LED数码管字型表

(三)实验程序设计 步骤1、用定时器编写延迟子程序; 2、编写BCD码转换子程序; 3、编写学号显示子程序; 4、编写从0~9秒用数码管显示子程序; 5、据时分秒的要求,编写定时器0中断服务子程序; 6、结合以上子程序,与主程序相组合成电子时钟程序; 7、编写键盘控制加子程序; 8、编写键盘控制减子程序; 9、组合以上子程序,与主程序相组合成可调电子时钟程序; ORG 0000H ;程序入口 AJMP START ;指向主程序 ORG 000BH ;定时器中断入口 AJMP INT1 ;指向中断服务程序 ; INT1: MOV TH0,#9EH MOV TL0,#58H DJNZ R7,EXITINT ;20次未到继续记数 MOV R7,#20 INC 20H MOV R2,20H CJNE R2,#60,EXITINT ;60秒未到继续记数 MOV 20H,#0 INC 21H MOV R2,21H CJNE R2,#60,EXITINT ;60分未到继续记数 MOV 21H,#0 INC 22H MOV R2,22H CJNE R2,#24,EXITINT ;24小时未到继续记数 MOV 22H,#0 EXITINT:RETI START: MOV 20H,#0 MOV 21H,#0 MOV 22H,#0 MOV R7,#20 MOV TMOD,#11H ;设定时器0和1均为方式1 MOV TH0,#9EH ;置定时器0初值为250 ms MOV TL0,#58H MOV TH1,#3CH ;置50ms计数循环初值(定时器1) MOV TL1,#0B0H SETB EA ;CPU开中断

电子钟课程设计报告

《数字电子技术》课程设计报告 题目:数字钟 学号: 授课班级: 学生: 指导教师: 完成时间: 职业技术学院信息工程系 应用电子技术教研室

摘要: 报告围绕此次数字钟的设计进行介绍、总结,包含了设计的步骤,前期的准备,装配的过程。考虑数字钟电路的基本构造后,在进行实装之前先用EWB软件进行了仿真,在实装时,采用了74HC90芯片进行计数,用晶体振荡器及D触发器产生秒脉冲,还要考虑电路的清零,每块芯片各设计为几进制,最后实现了数字钟设计所要求的各项功能:时钟显示功能;小时高位零熄灭功能;整点报时功能;快速校准时间的功能。 关键字:数字钟、报时、74HC161、校准 Abstract The designing of the digital clock on the report were introduced and summarized, including design steps, the preparation, assembly process. Considering the basic structure of the digital clock circuit, we use EWB simulation software before assembling. In the assembly, adopted 74HC90 count chips and using crystal oscillator and D flip-flop produced seconds pulse. Otherwise, the reset of the circuit and each chip designed for which system should be considered. Finally realized the digital clock design requirements of various functions: The clock display function; Hour zero extinguished function; Give the correct time on time function; Rapid calibration time functions. KEYWORDS: Digital Clock、Give the Correct Time、74HC90、Calibration

相关文档
最新文档