数电课程设计汽车尾灯
汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
数电课程设计报告-汽车尾灯控制设计

数电课程设计报告-汽车尾灯控制设计————————————————————————————————作者:————————————————————————————————日期:烟台南山学院数电课程设计题目汽车尾灯控制设计姓名:所在学院:自动化工程学院所学专业:班级:学号:指导教师:田老师完成时间: 2010—12-16内容提要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。
另一方面爷可以使我们更好地巩固和加深对基础知识的理解,学会设计中小型系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。
通过实践应道我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
通过设计,一方面可以加深我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个时间的阶段.设计是工科学生面对的重要课题,经历这个过程才能真切感受到工科的魅力,拉近与生产的距离。
本课程设计是设计一个汽车尾灯的控制电路,实现在不同操作下左右各3个尾灯的不同显示方式:1.在行驶时右转则右边3个灯以:3灯全灭—〉L4亮->L4、L5亮—〉L4、l5、L6亮-〉3灯全灭循环且L1、L2、L3灯一直全灭2.当左转时则左边3个灯以:3灯全灭—>L3亮—〉L2、L3亮->L1、L2、L3亮->3灯全灭循环且L4、L5、L6灯全灭3.当左右2个开关都被借接通时,说明驾驶员是一个外行,紧急闪烁器起作用,6个尾灯大约以1HZ的频率一致地善守着亮与暗的循环4.当驾驶员紧急刹车时,左右两开关均接通六个灯会同时亮这要求我们要正确使用各芯片来实现设计要求,理解实验中用到的各个芯片的引脚和接线及其所能实现的逻辑功能。
在所列出的各项方案中选出最简洁的进行设计。
《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
数电技术课程设计汽车尾灯控制器的设计大学论文

淮海工学院课程设计报告书课程名称:数电技术课程设计题目:汽车尾灯控制器的设计系(院):电子工程学院学期:2011-2012-2专业班级:电子101姓名:学号:评语:成绩:签名:日期:1. 设计目的及主要任务1.1设计目的①要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
②要求掌握基于单片机或数字集成电路的汽车尾灯控制器的设计方法与数字电子线路系统的装调技术。
1.2 设计任务及主要技术指标①设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:1、汽车正常行驶时,尾灯全部熄灭。
2、当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
3、当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
4、临时刹车时,所有指示灯同时闪烁。
②确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。
③绘制总体电路原理图再仿真。
2. 方案论证及设计原理2.1 方案确定与论证汽车尾灯控制器的常见电路形式有基于集成门电路构成的电路系统和基于单片机系统构建的控制电路。
单片机成本较低,其外围电路的元器件价格也不高,但系统软硬件设计相对比较复杂,运用单片机控制方案,该系统硬件设计包含扩展电路部分和系统配置电路部分,软件设计又要注意算法的合理选择和程序的优化设计,所以该系统电路软硬件设计工作量都相对较大。
集成门电路系统稳定性高,结果再现性好,系统分析与设计相对较为容易。
虽然由于其电路实现过程较为简单,必须根据逻辑代数规则对系统进行设计,但是次汽车尾灯控制电路逻辑变量简单,状态少,因此电路结构简单,所用芯片少,成本也不高。
综合以上考虑及现有知识,选用逻辑电路搭建汽车尾灯控制电路。
2.2 设计原理2.2.1 汽车尾灯显示状态与汽车运行状态的关系设置两个状态控制变量来区分汽车尾灯的四种不同的显示模式。
假定用开关K1,K0 进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1汽车尾灯显示状态与汽车运行状态的关系开关控制汽车运行状态左转尾灯右转尾灯K1K0 L1L2L3 R1R2R30 0 正向行驶灯灭灯灭0 1 右转弯灯灭按R1R2R3向右依次点亮1 0 左转弯按L1L2L3向左依次点亮灯灭1 1 刹车所有尾灯同时点亮2.2.2 汽车尾灯控制器功能描述在汽车左右转弯行驶时,由于3 个指示灯被循环顺序点亮,所以可以用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3 个指示灯。
汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
数字电子技术课程设计(汽车尾灯控制电路)

湖南工程学院课程设计课程名称数字电子技术课题名称汽车尾灯控制电路专业电气工程及其自动化班级0884学号200813010401姓名简长青指导教师赵振兴2010年10月7日湖南工程学院课程设计任务书课程名称:数字电子技术题目:汽车尾灯控制电路专业班级:电气0884 班学生姓名:简长青学号:01指导老师:赵振兴审批:任务书下达日期2010年9月27日设计完成日期2010年10月7日目录一总体设计思路(含电路原理框图):1.1 电路设计原理框图具体的电路要求及功能表如下:a)输入变量定义:脚踏制动器信号名称:U;U为0时无制动,U为1时制动停车信号名称:S;S为0时停车,S为1时汽车工作左转弯控制信号名称:L;L为1时向左转右转弯控制信号名称:R;R为1时向右转b) 控制电路工作表:1 0 1 0 右侧3只灯按000—100—110—111—000闪,左侧均灭1 0 1 1 两侧6只灯均按000—100—110—111—000闪1 1 0 0 两侧6只灯均亮1 1 0 1 左侧3只灯按000—100—110—111—000闪,右侧均灭1 1 1 0 右侧3只灯按000—100—110—111—000闪,左侧均灭1 1 1 1 两侧6只灯均亮二各个模块的设计2.1 产生000—100—110—111—000的时序电路模块:Q0 Q1 Q2 Q0‘Q1‘Q2‘0 0 0 1 0 01 0 0 1 1 01 1 0 1 1 11 1 1 0 0 0J0=1 K0=Q2、 J1=Q0 K1=Q2、 J2=Q1 K2=1则电路图2.2 当电路输出不是周期性亮灭时而是时钟脉冲频率闪亮均亮或均灭电路S U R L 输出信号0 X X X 时钟脉冲频率闪亮1 0 0 0 01 0 0 1 01 0 1 0 01 0 1 1 01 1 0 0 11 1 0 1 01 1 1 0 01 1 1 1 1经过分析可以得到满足要求的电路图如下图所示:2.3 清零信号模块由于每次控制开关的输入信号发生改变时,如果6只灯的状态不及时清零则输出与要求不符。
数字电子课程设计报告--汽车尾灯控制电路设计

汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电课程设计汽车尾灯公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]
数电课程设计-汽车尾灯
课题汽车尾灯控制器
专业电子信息工程
班级电子Z121班
姓名袁
2016年5月20日
目录
第1章概述....................................................... 第2章方案论证................................................... 第3章电路设计................................................... 时钟脉冲电路...................................................... 开关控制电路...................................................... 三进制计数器......................................................
译码、显示驱动电路................................................ 第5章结论....................................................... 第7章课设体会及合理化建议....................................... 参考文献........................................................... 附录I 总电路图.................................................... 附录II 元器件清单.................................................
第1章概述
汽车尾灯控制电路是很常见的工作电路,在日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。
设计一个汽车尾灯的控制电路,技术指标如下:
(1)假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟);
(2)汽车正常运行时指示灯全灭;
(3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮;
(4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮;
(5)汽车刹车时,所有指示灯同时闪烁。
第2章方案论证
方案一:
汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。
首先将脉冲信号CLK提供给D触发器逻辑电路。
用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。
将此信号作为左转、右转的原始信号。
设置左、右转控制开关。
通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的三个汽车尾灯上。
这部分电路起电路分拣的作用。
设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。
当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时
钟信号CLK全部闪烁的功能。
最终得到的信号即可输出到发光二极管上,实现所需功能。
方案一原理框图如图1所示。
图1 方案一原理框图
方案二:
汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示、驱动电路构成。
由于汽车左、右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控制的开关,可产生00、01、10、11四种状态。
开关置为00时,表示汽车处于正常运行状态。
开关置为01时,表示汽车处于右转弯状态。
开关置为10时,表示汽车处于左转弯状态。
开关置为11时,表示汽车处于刹车状态。
其次,设计电路实现所需达到的功能。
三进制计数器可用两片D触发器构成。
译码电路可用3线—8线译码器74LS138和6个与非门构成。
显示、驱动电路由6个发光二极管和6个反相器构成。
方案二的原理框图如图2所示。
图2 方案二的原理框图
最终方案为方案二。
第3章电路设计
时钟脉冲电路
由555定时器构成的多谐振荡器电路如图3所示。
图3 由555构成的多谐振荡器
接通电源后,电容C1被充电,Vc上升,当Vc上升到2/3Vcc时,触发器被复位,
此时Vo为低电平,电容C1通过R10和T放电,使Vc下降。
当Vc下降到
1/3Vcc时,触发器又被复位,Vo翻转为高电平。
周期 T=(R9+2R10) C1 Ln2≈(R9+2R10)C1
开关控制电路
开关控制电路如图4所示。
图4 开关控制电路
电路通过控制开关A、B的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四种状态。
AB置为00状态时,表示汽车处于正常运行的状态。
AB置为01状态时,表示汽车处于右转弯的状态。
AB置为10状态时,表示汽车处于左转弯的状态。
AB置为11状态时,表示汽车处于刹车的状态。
三进制计数器
原理图如图5所示。
图5 三进制计数器原理框图
三进制计数器状态表如表1所示。
表1 三进制计数器状态表
三进制计数器状态转换图如图6所示。
图6 三进制计数器状态转换图
本设计采用一片双JK触发器74LS76即可,结构简单,成本低,实现稳定。
译码、显示驱动电路
译码、显示驱动电路如图7所示
图7 译码、显示驱动电路
其显示驱动由6个发光二极管和6个反向器构成。
译码电路由3线-8译码器74LS138和6个与非门(74LS00)构成。
74LS138的三个输入端A、B、C 分别接Q0、Q1、S1,而Q0、Q1是三进制计数器的输出端,当S1=0,使能信号A=G=1,计数器的状态为00、01、10时,74LS138对应的输出端Y0、Y1、Y2依次为0有效(Y3、Y4、Y5信号为“1”无效),即反向器G1-G3的输出端也依次为0,故指示灯U25、U24、U22按顺序点亮示意汽车左转弯。
若上述条件不变,而S1=1,则74LS138对应的输出端Y4、Y5、Y6依次为0有效,即反向器G4-G6的输出端依次为0,故指示灯U27、U26、U23按顺序点亮示意汽车右转弯。
当G=0,A=1时,74LS138的输入端全为1,G1-G6的输出端也全为1,指示灯全灭。
当G=0,A=CP时,指示灯随CP的频率闪烁。
图8 正常行驶仿真结果
第5章结论
电路的主要特点是选用简单的元器件,充分利用所学知识。
通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能。
第6章性价比
本电路采用的都是简单且常见的元器件,价格相对便宜,性能基本符合技术要求。
适用于对技术要求不是十分严格的电路。
因此,本电路的性价比较高。
第7章课设体会及合理化建议
这次总的来说收获很大,但在独立设计过程中着实遇到了不少困难。
比如开始时不知用什么逻辑器件使输出为001,010,100的循环,以使指示灯按一定的顺序依次点亮,后经过与同学的讨论最终使问题得到了解决,我想这也是最吸引我们的地方,当真正投入时才发现乐在其中。
一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的学习和老师的指导,才完成了电路的设计并成功进行了仿真。
参考文献
[1] 阎石主编. 数字电子技术. [M]北京:高等教育出版社,2006年
[2] 刘修文主编. 实用电子电路测试与实验. [M]北京:中国电力出版社,2005年
[3] 朱定华主编. 电子电路测试与实验. [M]北京:清华大学出版社,2004年
[4] 路勇主编. 电子电路实验及仿真. [M]北京:北京交通大学出版社,2004年
[5] 谢自美主编. 电子线路设计实验测试. [M]武汉:华中科技大学出版社,2006年
附录I 总电路图
附录II 元器件清单。