出租车计价器系统设计中英文对照版
出租车计价器__毕业设计(完整版)

摘要现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局,预计未来计价器市场很有前景。
计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因此,具有良好性能的计价器对广大出租车司机朋友和乘客来说是很必要的。
但是现阶段出租车的计费系统功能有时候并不能满足出租车司机的意愿。
本课题是出租车计价器系统的设计。
重点是设计出租车计价器的实用性,并尽量使最后的成品接近于商品。
整个系统是以89S51单片机为中心,采用A44E霍尔传感器对轮胎转数进行计数,实现对出租车里程的测量,并最终计算出结果。
其中采用掉电存储芯片AT24C02使系统在掉电的时候对单价、里程等信息进行存储,人机交换界面采用的是LCD1602液晶屏显示,并且通过语音芯片进行播报。
本电路设计的出租车计价器不但能实现计价的功能,同时还能实现根据时钟芯片自动调整白天、黑天计价模式,能自动处理中途等待等功能。
关键词:单片机AT89S51;LCD1602;出租车计价器;霍尔传感器;AT24C02ABSTRACTAutomatic taximeter has been universal among Cities for now. The taximeter technology is a foregone conclusion already. So taximeter of cars is still potential at next generation. As an important component taximeter is not only the interests of taxi drivers but also the passengers, so it has played an important role. The good performed taximeter is necessary for the public. The current taxi billing systems don’t meet the wishes of people. In this article we talk about various designs of taximeter system.The point is to design the practicality of the taximeter and as far as possible to make the final finished product close to commodities. The whole system is based on single-chip 89S51 and use a hall-sensor A44E to count the number of revolutions. In this way we can achieve the statistics of the taxi mileage and give out the final results. In this design we use AT24C02 to store the price, mileage and other information when the power is down. The man-machine interface of the exchange is LCD1602 screen, and through the voice chip broadcasts. This circuit design can not only realize the meter taxi valuation function, but also can realize the automatic adjustment according to the clock chips during the day, dark pricing mode, it can automatic processing midway waiting for function. Keywords: single-chip AT89S51; LCD1602; Taximeter; Hall sensor; AT24C02目录摘要 (I)ABSTRACT ....................................................................................... I I 1 绪论. (1)1.1 国内外出租车计价器的研究现状 (1)1.2 国内外出租车计价器的发展趋势 (2)1.3 本课题的研究目的及意义 (2)2 出租车计价器的方案论证 (4)2.1 出租车计价器的功能要求 (4)2.2 方案论证与比较 (5)3 出租车计价器的硬件设计 (7)3.1 单片机的介绍 (7)3.2 单片机最小系统 (11)3.3 里程计算模块的设计 (13)3.4 显示模块设计 (16)3.5 语音模块的设计 (18)3.6 掉电存储电路的设计 (21)3.7 按键电路的设计 (23)3.8 时钟电路的设计 (24)4 出租车计价器的软件设计 (27)4.1 模块介绍 (27)4.2 主程序模块 (27)4.3 里程计数中断程序 (28)4.3 定时中断服务程序 (29)4.4 中途等待服务程序 (30)4.5 显示服务子程序 (31)4.6 键盘服务程序 (33)5 系统调试 (34)5.1 软件调试 (34)5.2 里程计价测试 (35)5.2 掉电存储测试 (37)结论与展望 (38)可行性分析报告 (39)参考文献 (40)致谢 (41)附录一 (42)附录二 (43)附录三 (76)1 绪论出租车计价器是出租车营运收费的专用智能化仪表,随着电子技术的发展,出租车计价器技术也在不断进步和提高。
外文翻译

外文译文出租车多功能计费器的设计理念随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语言报话和电脑串行通信及税控功能。
不同国家和地址的计费方式存在差异,如有些地区有夜间收费及郊区收费等,而有些地区则无夜间收费和郊区收费;目前国内有些大城市要计计费器有税控功能,而有些城市无此要求;即使同一地区,不同车型的出租车其计费方式也有差别;而且出租车还面临几年一次的调价或调整计费方式等问题。
为此,我们设计了一款计费器。
该计费器内设置了多达64个选项系统上电、掉电以及供电电压降低时,第7脚产生复位输出,复位脉冲宽度的典型值为200ms,高电平有效,复位门限为4.65V。
计费器提供6个按键功能,但税控计费器不需要6个按键,只需要3个按键,因而无需4028译码器,利用CPU 的三条I/O线即可实现三个按键的功能。
1. 防作弊及脉冲输入模块。
为了提高计费器的防作弊功能,采用了两片PIC508,传感器中装一片PIC508,计费器中装一片PIC508。
其工作原理如下:每次计费器复位后,计费器中的PIC508送一随机数(1个字节)作为密码给传感器中的PIC508,车辆每产生一个脉冲,传感器中的PIC508均要将此密码送回到计费器的PIC508。
只有密码正确,计费器中的PCI508才发正确信息给CPU,表示输入了一脉冲,否则发错误信息给CPU。
当CPU收到三次错误信息(次数可任意设置)时,CPU报警表示有作弊现象。
由于不同时刻,即使同一个计费器的密码也不相同且是随机,因此采用该方法能效地防止司机作弊。
2 软件设计应注重的问题由于计数器的选项多达64个,功能齐全,能适应不同地区的需要,软件编程及调试比较复杂,需要非凡小心。
为了使计费器稳定、可靠地工作,软件设计主要考虑了以下几个方面:(1)为了防止计费器死机,软件编程时采用了指令冗余技术、软件陷阱技术以及软件看门狗技术。
出租车多功能计价器

精品好资料——————学习推荐出租车多功能计价器摘要随着出租车行业的发展,出租车行业已经是城市交通的重要组成部分,从加强行业管理以及减少与乘客的纠纷出发,以单片机为核心的智能出租车计价系统的开发就显得尤其重要。
本设计采用89S51单片机为主控器,以FJ2E-D10NK霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C02实现在系统掉电的时候保存单价和系统时间等信息,输出采用8段数码显示管。
本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜,中途等待来调节单价,但同时在不计价的时候还能作为时钟为司机同志提供方便。
关键词:89S51,霍尔传感器,AT24C02,AbstrsctAs the taxi industry development, urban taxi industry is an important component of the traffic, strengthening management and the reduction of trade disputes with passengers proceeding to SCM smart taxi at the core of the development on the pricing system is particularly Important. This design uses 89 S51 MCU-based controller, to FJ2E-D10NK Hall sensor location, and the taxi-pricing design and realization of the system used AT24C02 Power-down price and the time saved time and other information systems, output By paragraph 8 of the digital display. of the circuit design of the meter can not only achieve the basic pricing, but also based on the day, night, waiting for the half-way to regulate the price, but not accounted for in the time for the drivers but also as a clock Comrade convenience.Key words: 89S51, Hall sensor, AT24C02,目录第一章系统方案比较与选择31.1方案论证与比较31.2主要元器件的介绍5第二章电路的系统设计92.1 设计总框图92.2 里程计算、计价单元的设计92.3 数据显示单元的设计102.4 AT24CO2掉电存储单元的设计122.5 按键单元的设计122.6 设计总体电路图13第三章程序设计153.1 主程序模块153.2 定时中断服务程序153.3 里程计数中断服务程序153.4 中途等待中断服务程序163.5 显示子程序服务程序163.6 键盘服务程序163.7 程序流程图17第四章测试分析与计算184.1.里程计价测试方法184.2.里程测量数据194.3.掉电储存测试20第五章电路的调试与安装20第六章创新与特点21第七章总结22附录一:源程序23附录二:共阳数码管与74LS164的连接图28第一章系统方案比较与选择1.1方案论证与比较方案一:采用数字电路控制,其原理如图所示。
基于vhdl出租车计价器设计说明书

摘要该系统利用VHDL语言,以QuartusII软件作为开发平台,设计了出租车计程计价表,具有车型设置、起步里程设置、起步价设置、分时计价设置、里程显示、计费显示、计时显示、点阵数码管显示汉字及数字钟的报时及发光二极管花色显示等功能。
并进行了程序仿真、动态扫描等步骤。
关键词:VHDL语言、QuartusII软件、仿真目录一、设计任务说明 ---------------------------------------------- - - 2 -二、设计任务要求 ------------------------------------------------ - 2 -三、设计内容 ------------------------------------------------------ - 3 -(一)里程计价部分 ---------------------------------------- - 3 - (二)计时部分 ----------------------------------------------- - 7 - (三)数码管显示部分 ------------------------------------- - 10 - (四)点阵显示部分 ---------------------------------------- - 15 - (五)数字钟的报时及发光二极管花色显示部分---- - 17 - (六)整体电路连接部分 ---------------------------------- - 18 -四、整体电路图 -------------------------------------------------- - 22 -五、硬件实验结果图 -------------------------------------------- - 23 -六、心得体会 ----------------------------------------------------- - 23 -一、设计任务说明设计一个出租车计程计价表,具有车型设置、起步里程设置、起步价设置、分时计价设置、里程显示、计费显示、计时显示、点阵数码管显示汉字及数字钟的报时及发光二极管花色显示等功能。
出租车计价器设计

出租车计价器设计摘要设计并实现一种利用89S51 单片机的出租车计价器。
使用霍尔传感器检测磁信号并产生脉冲,利用转盘转动模拟出租车车轮转动,通过记录脉冲个数,在计价器里换算成一定的计价营运里程,并通过按键实现总价、路程及时间的切换显示,采用EEPROM(AT24C02) 实现在系统掉电的时候保存单价和系统时间等信息,输出采用6 位LED数码管。
采用两种计价模式,区分白天黑夜计价,使该计价器的设计更加合理化。
系统的软件设计主要有:主程序模块、定时中断服务程序、里程计数中断服务程序、中途等待中断服务程序、显示子程序服务程序键盘服务程序。
采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以较容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。
针对计费模式的切换,通过软件编程就可以轻而易举的实现。
避免了机械开关带来的不稳定因素。
另外在本方案中使用了点阵的液晶,可以实现更加友好的使用界面。
数据信息一目了然,即使是成品也可以方便的修改使用界面,更可根据不同的公司客户使用不同的局面。
关键词出租车计价器;89S51单片机;霍尔传感器;EEPROM(AT24C02)掉电保护The design of Taxi meterAbstractThe design of taxi meter is based on an implementation of using 89S51 microcontroller. Using the Holzer sensor detects the magnetic signal and generates a pulse, using turntables turn simulation taxi wheel rotates, by recording the number of pulses, converts certain idea price transport business course in the mileage fare meter and achieved through the key price,distance and time of the switch the display, using AT24C02 system realizes the power off when price and time keeping system information output, The output uses 6 LED nixietubes. There are two pricing models to distinguish between day and night valuation, the valuation implement designs more rational.The software design of the system are as follows: the main program module, timer interrupt service program, mileage count interrupt service routine, halfway to wait interrupt service routine, subroutine keyboard service program service program.The design of the single chip computer is relatively more powerful which uses with less hardware and the appropriate software interface and it can be easily achieved the design of requirements: flexibility. What is more, you can software programming to complete more of the additional features. In view of cost pattern cut and the switch, the realization may lift easily which through the software programming. It is also can avoid mechanical switch bringing the unstable factor. Moreover it has used the lattice liquid crystal in this plan which can achieve a more user-friendly interface and the data message is clearer. Even the finished product can also be conveniently modify the user interface. What is more,we can act according to the different company customer use different aspect . Keywords Taxi Meter;89S51 MCU;A44E Hall;EEPROM(AT24C02) Power off Protection目录摘要 (I)Abstract (II)第1章绪论 (1)1.1课题背景 (1)1.2设计任务 (1)1.3系统主要功能 (2)第2章出租车计价器硬件设计 (3)2.1 系统组成 (3)2.2 AT89S51单片机及其引脚说明 (3)2.3 AT24C02引脚图及其引脚功能 (6)2.4 AT24C02 掉电存储单元的设计 (6)2.5 车轮传动检测模块和按键控制模块 (7)2.5.1车轮传动检测模块 (7)2.5.2按键控制模块 (9)2.6 数据显示单元设计 (9)2.6.1数码管得分屏显示 (9)2.6.2 LED显示工作原理 (10)2.7 Protel绘制原理图 (11)第3章系统的软件设计 (13)3.1 系统的主程序设计 (13)3.2 定时中断服务程序 (15)3.3 里程计数中断服务程序 (16)3.4 显示子程序服务程序 (16)3.5 键盘服务程序 (16)3.5.1按键开关的去除抖动功能 (16)3.5.2按键功能 (17)第4章系统测试 (18)4.1 系统调试 (18)4.2 使用的仪器仪表 (18)4.3 里程计价测试 (18)4.4 掉电存储测试 (19)结论 (21)致谢 (22)参考文献 (23)附录A (24)第1章绪论1.1课题背景出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。
任务书及报告模板--出租车简易计费器设计VHDL语言

课程设计报告书题目出租车简易计费器设计课程设计任务书课题题目摘要----------------jifei---------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jifei isport ( clk,rst,en,en1:in std_logic;lc0,lc1,lc2,lc3:out std_logic_vector(3 downto 0);jj0,jj1,jj2,jj3:out std_logic_vector(3 downto 0));end jifei;architecture lab10_4 of jifei issignal Q00:std_logic_VECTOR(3 DOWNTO 0);--jifei0 signal Q01:std_logic_VECTOR(3 DOWNTO 0);--jifei1 signal Q02:std_logic_VECTOR(3 DOWNTO 0);--jifei2 signal Q03:std_logic_VECTOR(3 DOWNTO 0);--jifei3 signal Q1:integer range 0 to 10000;--JIZONGLUCHENG signal Q10:std_logic_VECTOR(3 DOWNTO 0);--lc0 signal Q11:std_logic_VECTOR(3 DOWNTO 0);--lc1 signal Q12:std_logic_VECTOR(3 DOWNTO 0);--lc2 signal Q13:std_logic_VECTOR(3 DOWNTO 0);--lc3 signal Q2:integer range 0 to 10000;--jidengdaishijianbeginprocess ( clk, rst)beginif clk'event and clk='1' thenif en='1' thenif en1='1' then --JILUCHENGQ1<=Q1+1;if Q10= "1001" THENQ10<="0000" ;IF Q11="1001" THENQ11<="0000";if Q12= "1001" THENQ12<="0000" ;IF Q13="1001"THENQ13<="0000";ELSEQ13<=Q13+1;END IF;ELSEQ12<=Q12+1;END IF;ELSEQ11<=Q11+1;END IF;ELSEQ10<=Q10+1;END IF; --JILUCHENGJIESUIF Q1<=3 THEN --JISUANLUCHENGFEIYONGQ00<="0101";ELSEif Q00= "1001" THENQ00<="0000" ;IF Q01="1001" THENQ01<="0000";if Q02= "1001" THENQ02<="0000" ;IF Q03="1001"THENQ03<="0000";ELSEQ03<=Q03+1;END IF;ELSEQ02<=Q02+1;END IF;ELSEQ01<=Q01+1;END IF;ELSEQ00<=Q00+1;END IF; --LUCHENG FEIYONG JISUANJIESUEND IF;ELSEQ2<=Q2+1;IF Q2>5 THEN --JISUANDENGDAISHIJANDFEIYONGif Q00= "1001" THENQ00<="0000" ;IF Q01="1001" THENQ01<="0000";if Q02= "1001" THENQ02<="0000" ;IF Q03="1001"THENQ03<="0000";ELSEQ03<=Q03+1;END IF;ELSEQ02<=Q02+1;END IF;ELSEQ01<=Q01+1;END IF;ELSEQ00<=Q00+1;END IF;END IF;END IF;END IF;END IF;IF RST='0' THENQ00<="0000";Q01<="0000";Q02<="0000";Q03<="0000";Q1<=0;Q2<=0;Q10<="0000";Q11<="0000";Q12<="0000";Q13<="0000";END IF;LC0<=Q10;LC1<=Q11;LC2<=Q12;LC3<=Q13;JJ0<=Q00;JJ1<=Q01;JJ2<=Q02;JJ3<=Q03;end process;end lab10_4;首行空两个中文字符;文字采用小四、宋体;行间距:多倍行距1.3;字数:200-400字左右关键词课题相关关键词,以分号间隔目录课程设计任务书............................................. 错误!未定义书签。
VHDL语言的出租车计费器设计说明

用VHDL语言设计出租车计价器1个报价近年来,出租车行业发展迅速。
全国有数千家出租车公司,出租车计价器市场巨大。
随着电子科学技术的不断发展,特别是集成电路的飞速发展,电子设计自动化已经成为主要的设计手段。
随着EDA技术的蓬勃发展和FPGA等数字可编程器件的出现,数字出租车计价器的设计变得更加简单,性能更加稳定,可以实现更加复杂的功能。
此外,利用EDA软件可以方便地在计算机上实现设计和仿真。
本设计基于VHDL(FPGA)语言,是电子设计领域最具活力和前景的技术,未来必将取代一些过时的数字元件。
1.1课程设计的目的随着电子技术的不断发展和进步,集成电路的设计方法也在不断更新。
今天,传统的手工设计过程已经被先进的电子设计自动化(EDA)工具所取代。
只有基于硬件描述语言和逻辑综合的子项下的电路设计方法,才能满足集成电路系统设计日益复杂的要求,缩短设计周期,满足集成电路系统设计日益迫切的要求。
在这种情况下,传统的出租车计价器的设计方法已经跟不上现在的节奏,过去的出租车计价器的功能也远远不能满足实际需要。
以往出租车计价器的不稳定和功能短是大家开始寻找功能更强大、性能更稳定、价格更低廉的新型出租车计价器的缺点。
大规模可编程逻辑器件和VHDL硬件描述语言的出现使这一切成为可能。
本设计的研究目标和意义是使用价格低廉、性能稳定、价格低廉、扩展性强的出租车计价器,满足当前出租车市场的需求,从而解决当前出租车计价器存在的一系列问题。
1.2课程设计要求1.它可以实现充电功能。
收费标准为:按里程收费,起步费10.00元,车库3公里后再按2元/公里收费。
计价器收费或超过一定收费(如20元)时,每公里收取车费的50%,车停止收费。
2.实现预设功能:可以预设起步费,每公里收费,车行里程。
3.实现模拟功能:可以模拟汽车的启动、停止、暂停、速度等状态。
4.设计一个动态扫描电路:用两位小数显示票价。
5.用VHDL语言设计一个满足上述功能要求的出租车计价器,并用层次化设计方法设计电路。
基于STC89C52单片机的出租车计价器设计

4.5 等候计时中断函数 20
5.系统调试 21
6.设计总结 21
致谢 24
附录 25
基于STC89C52单片机的出租车计价器设计
摘 要
随着城市日益的发展,交通出行方式变得多样化。出租车作为人们的主要出行工具之一,也发展得越来越快。出租车行业的发展在某种意义上,也体现了本座城市的交通发达情况。在出租车变得越来越重要的今天,计价器作为其计费工具,也就显得十分重要。
Keywords:Mileage fare meter ; transportation journey way ; monolithic integrated circuit
1.前言
社会的进步,使得交通出行的方式变得多种多样。在交通如此发达的今天,人们出行变得十分方便。如今,使用计程车出行已经成为了人们日常生活中的基本出行方式之一,越来越多人会因为其快捷、方便的特点选择使用计程车出行。出租车行业的发达与否在某种意义上也代表了一个地方的交通发达状况。因此,让出租车行业拥有一个快速、健康的发展变得尤为重要。除了提高行业的整体服务质量和加强对行业的管理之外,有着优越性能的计价器对出租车来说也是十分重要的。
This time mileage fare meter design uses the plan is take the STC89C52 monolithic integrated circuit as a core, uses the Hall sensor to survey the wheel the rotation turn analog computation travel distance. The demonstration output use is can demonstrate Chinese character the LCD12864 liquid crystal display monitor. Also can act according to the different situation to select the different charge method, like the daytime, evening, with waits for and so on the situations on the way. May carry on the manual revision charge price, has the replacement function.
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Machi ne tool nu merical con trol reforms机床数控改造First, CNC systems and the developme nt trend of history一、数控系统发展简史及趋势1946 birth of the world's first electronic computer, which shows that human beings created to enhance and replace some of the men tal work tools. It and huma n agriculture, in dustrial society in the creati on of those who merely in crease compared to manual tools, from a qualitative leap for mankind's entry into the information society laid the foun datio n. Six years later, i n 1952, computer tech no logy applied to the machine in the United States was born first CNC machine tools. Since then, the traditional machine produced a qualitative change. Nearly half a century since the CNC system has experie need two phases and six gen erati ons of developme nt.1946年诞生了世界上第一台电子计算机,这表明人类创造了可增强和部分代替脑力劳动的工具。
它与人类在农业、工业社会中创造的那些只是增强体力劳动的工具相比,起了质的飞跃,为人类进入信息社会奠定了基础。
6年后,即在1952年,计算机技术应用到了机床上,在美国诞生了第一台数控机床。
从此,传统机床产生了质的变化。
近半个世纪以来,数控系统经历了两个阶段和六代的发展。
1.1, Numerical Control (NC) phase (1952 to 1970)1.1、数控(NC)阶段(1952〜1970年)Early computer's computational speed low and the prevailing scientific computi ng and data process ing is not affected, but can not meet the requireme nts of real-time con trol machi ne. People have to use digital logic circuit "tied" into a sin gle mach ine as a dedicated computer nu merical con trol system, known as the hardware conn ection NC (HARD-WIRED NC), called the Numerical Control (NC). With the developme nt of comp onents of this phase after three gen eratio ns, that is, in 1952 the first gen erati on - tube; 1959 of the sec ond gen eratio n - tran sistor; 1965 of the third generation - small-scale integrated circuits.早期计算机的运算速度低,对当时的科学计算和数据处理影响还不大,但不能适应机床实时控制的要求。
人们不得不采用数字逻辑电路"搭"成一台机床专用计算机作为数控系统,被称为硬件连接数控(HARD-WIRED NC ),简称为数控(NC)。
随着元器件的发展,这个阶段历经了三代,即1952年的第一代--电子管;1959年的第二代--晶体管;1965年的第三代--小规模集成电路。
1.2, Computer Numerical Control (CNC) phase (1970 to present)1.2、计算机数控(CNC)阶段(1970年〜现在)To 1970, GM has bee n a small computer and mass-produced.So it tran spla nt system as the core component of NC, have entered a Computer Numerical Control (CNC) stage (in front of the computer should be "uni versal" word omitted). To 1971, the United States INTEL company in the world will be the first time the two most core computer comp onents - comput ing and con troller, a large-scale in tegrated circuit techn ology in tegrati on in a chip, called the microprocessor (MICROPROCESSOR), also known as the cen tral process ing un it (CPU).到1970年,通用小型计算机业已出现并成批生产。
于是将它移植过来作为数控系统的核心部件,从此进入了计算机数控(CNC)阶段(把计算机前面应有的"通用"两个字省略了)。
到1971年,美国INTEL公司在世界上第一次将计算机的两个最核心的部件--运算器和控制器,采用大规模集成电路技术集成在一块芯片上,称之为微处理器(MICROPROCESSOR),又可称为中央处理单元(简称CPU)。
1974 microprocessor to be used in CNC system. This is because the function of the computer is too small to control a machine tool capacity affluent (the time has bee n used to con trol more tha n one mach ine, called Group Con trol), as a reas on able econo mic use of the microprocessor. Mini computer reliability and the n not ideal. Early microprocessor speed and fun cti on ality while still not high eno ugh, but can be adopted to solve the multi-processor architecture. As microprocessor core is a general computer comp onen ts, it is still known as the CNC.到1974年微处理器被应用于数控系统。
这是因为小型计算机功能太强,控制一台机床能力有富裕(故当时曾用于控制多台机床,称之为群控) ,不如采用微处理器经济合理。
而且当时的小型机可靠性也不理想。
早期的微处理器速度和功能虽还不够高,但可以通过多处理器结构来解决。
由于微处理器是通用计算机的核心部件,故仍称为计算机数控。
By 1990, PC machi nes (pers onal computers, domestic habits that computer) performanee has been developed to a high stage, as a CNC system to meet the requireme nts of the core comp onen ts. NC system based on PC has now en tered the stage.到了1990年,PC机(个人计算机,国内习惯称微机)的性能已发展到很高的阶段,可以满足作为数控系统核心部件的要求。
数控系统从此进入了基于PC的阶段。
In short, CNC has also experie need a stage three gen eratio ns. That is, in 1970's fourth gen erati on - small computer; 1974 of the fifth gen eratio n - microprocessors and the sixth-generation 1990 - Based on the PC (called PC-BASED abroad).总之,计算机数控阶段也经历了三代。
即1970年的第四代--小型计算机;1974年的第五代--微处理器和1990年的第六代--基于PC(国外称为PC-BASED)。
Also pointed out that, although the foreign computer has been renamed NC (CNC), but China still customary said Numerical Control (NC). Therefore, we stress the day-to-day "NC", i n esse nee, is that "computer nu merically con trolled."还要指出的是,虽然国外早已改称为计算机数控(即CNC)了,而我国仍习惯称数控(NC)。
所以我们日常讲的"数控",实质上已是指"计算机数控"了。