励磁系统中可控硅触发脉冲的FPGA编程实现

合集下载

南瑞继保励磁产品介绍(PCS-9400)-201505-南瑞继保

南瑞继保励磁产品介绍(PCS-9400)-201505-南瑞继保
• 实测电力系统稳定器(PSS)抗“反调”性能

25
PCS-9400励磁系统之录波自主触发
• 实用有效的录波触发机制,保证任何工况变化 均可记录数据,保证故障分析准确,及时发现 故障及隐患,提高系统运行安全可靠性
设置多种录波及事件记录触发机制,保证任何励磁或发 电机异常后均有完整录波。
2
P器RC-SR可控硅整流 1套 按大置P保C功护N脉S+-率装冲194冗可置变2余5控压;整配硅器交流置直;(桥,流低1测.退隔噪5控1万离声柜装伏刀冷满置)开却足(;关风额智集机;定能中;和I阻P分强U断)散励式式;阻布容
3 P压R保C-护BR灭磁及过电 1套 磁进Z;n智口ROC,能高SSi-测性C9,8控能R5R)装磁E;转置场子双断(接向路BF地过器CR检压;通测吸大道装收容)置设量备;灭P;磁CS起电-励9阻4设3(5备灭 4 ZSCB励磁变压器 1台 环备氧;干高式低变压压侧器保护;及铝测合量金外CT壳;温控温显设
• 采用高速度高性能运算器件,提高励磁测量及调节
速度至每秒钟4000次,提高响应速度和稳定特性
• 采运用行5,00提MH高z主运频算3速2位度高,速分数散字硬信件号风处险理,器提(高D励SP磁)系;统多运C行PU安并全列
性能;多周期多等级中断任务,处理励磁多时序功能

调节频率最高可达4000Hz,传递函数离散时间至0.25毫秒,数 学模型更精确,调节品质更出色,为电力系统及发电机提供更
PCS-9400系列化励磁系统简介
- 前言
PCS-9400系统是南瑞继保基于现代励磁最新技术、最 新标准、基于南瑞继保统一的硬软件平台而设计的新 一代高技术性能高可靠性的智能励磁系统;根据不同 的配置需要可以构成各种励磁方式的励磁系统。

基于FPGA的高速可编程的脉冲信号发生器的设计

基于FPGA的高速可编程的脉冲信号发生器的设计

单位代码:10359学号:2015110906 密级:公开分类号:TN78Hefei University of Technology 硕士学位论文MASTER’S DISSERTATION(学术硕士)论文题目:基于FPGA的高速可编程的脉冲信号发生器的设计学科专业:微电子学与固体电子学作者姓名:田宇导师姓名:徐南阳教授完成时间:2018年3月合肥工业大学学历硕士学位论文基于FPGA的高速可编程的脉冲信号发生器的设计作者姓名:田宇指导教师:徐南阳教授学科专业:微电子学与固体电子学研究方向:量子信息与量子计算2018年03月A Dissertation Submitted for the Degree of MasterDesign of High Speed Programmable Pulse GeneratorBased on FPGAByTian YuHefei University of TechnologyHefei, Anhui, P.R.ChinaMarch,2018本论文是在导师徐南阳教授的指导下完成的。

时光飞逝,短暂的三年硕士研究生生活即将迎来尾声,内心十分感慨。

在这三年的光阴里,我得到了无数来自老师和实验室师弟妹的关心与帮助,在论文完成之际,我对实验室所有的师生表示感谢。

首先我要感谢我的导师徐南阳老师。

三年研究所学习生涯里,徐南阳老师幽默风趣的生活作风和严谨刻苦的科研态度,深深地影响了我。

徐老师对不同领域知识涉猎广泛,眼界开阔,使我深刻感觉到了学无止境、志存高远的精神。

徐老师平日里学术上的教导和生活中的教诲,教会了我待人处世的道理,使我受益终身。

其次,我要感谢实验室的陈老师与耿老师。

陈老师在科研教学繁忙之余,经常关心督促我的学业,并不厌其烦地为我解答学业上的疑惑;耿老师年龄与我相近,他刻苦钻研的科研精神和灵敏开阔的思维,使我不断充满旺盛的学习热情,感谢两位老师。

我还要感谢实验室的师弟妹们,宋雨萌,邢腾腾,郑子贤,施赛烽,周飞飞。

基于FPGA的中高频感应电炉控制电路设计方案

基于FPGA的中高频感应电炉控制电路设计方案

基于FPGA的中高频感应电炉控制电路设计方案李跃文;张流强;李金超【摘要】中高频电炉是利用电磁感应原理加热和溶化金属的.提出一种优化系统控制电路的方案,基于Ahera FPGA可编程器件,利用VerilogHDL语言实现对可控硅的整流脉冲、逆变脉冲以及工作振荡频率的跟踪和系统保护控制的模块化,将其集成到片上,形成片上控制系统,从而提高整个控制系统的可靠性、稳定性和抗干扰性.【期刊名称】《现代电子技术》【年(卷),期】2008(031)007【总页数】4页(P125-127,130)【关键词】可编程片上系统;可控硅;现场可编程门阵列;硬件描述语言【作者】李跃文;张流强;李金超【作者单位】重庆大学,光电工程学院,重庆,400044;重庆大学,光电工程学院,重庆,400044;重庆大学,微系统研究中心,重庆,400044;重庆大学,光电工程学院,重庆,400044【正文语种】中文【中图分类】TN41;TP331 引言中高频感应炉是利用电磁感应原理加热和溶化金属的,这种方式是一种较理想的加热工艺,已经广泛应用于金属熔炼、焊接、表面淬火等加工和热处理过程。

中高频电炉的负载是由感应圈和被加热的金属工件组成,为了降低无功功率,需要用串联或并联电容的方式来补偿无功功率,使整个电路中形成中高频的LC振荡。

维持这样较恒定的频率振荡,金属内部将形成涡流而发热,从而达到加热和熔化金属的目的。

传统的控制电路主要采用分离元件的模数混合电路,控制精度低,容易产生噪声问题。

本文将提出一种基于FPGA片上可编程技术实现数字化控制方案,代替传统的数模混合电路,从而可提高其控制的可靠性,稳定性,同时也可以提高系统集成度并降低噪声干扰。

设计主要采用VerilogHDL硬件描述语言实现模块化的设计,构成片上可编程系统,用QuartusⅡ7.0软件模拟仿真,并进行了模块实际验证。

2 中频感应电炉的控制电路工作原理中高频感应电炉控制电路主要由以下几个部分组成:三相电源整流控制电路,逆变控制电路及工作频率的跟踪锁定控制电路,如图1所示。

FPGA实现可编程单脉冲发生器设计

FPGA实现可编程单脉冲发生器设计

可编程单脉冲发生器设计可编程单脉冲发生器是一种脉冲宽度可编程的信号发生器,其输出为TTL 电平。

在输入按键的控制下,产生单次的脉冲,脉冲的宽度由8位的输入数据控制(以下称之为脉宽参数)。

由于是8位的脉宽参数,故可以产生255种宽度的单次脉冲。

在目标板上,I0~I7用作脉宽参数输入,PULSE_OUT用做可编程单脉冲输出,而KEY和/RB作为启动键和复位键。

图3示出了可编程单脉冲发生器的电路图。

图3 可编程单脉冲发生器的电路图8.3.1 由系统功能描述时序关系可编程单脉冲发生器的操作过程是:(1) 预置脉宽参数。

(2) 按下复位键,初始化系统。

(3) 按下启动键,发出单脉冲。

以上三步可用三个按键来完成。

但是,由于目标板已确定,故考虑在复位键按下后,经过延时自动产生预置脉宽参数的动作。

这一过程可用图4的时序来描述。

图4 可编程单脉冲发生器的时序图图中的/RB为系统复位脉冲,在其之后自动产生LOAD脉冲,装载脉宽参数N。

之后,等待按下/KEY键。

/KEY键按下后,单脉冲P_PULSE便输出。

在此,应注意到:/KEY的按下是与系统时钟CLK不同步的,不加处理将会影响单脉冲P_PULSE的精度。

为此,在/KEY按下期间,产生脉冲P1,它的上跳沿与时钟取得同步。

之后,在脉宽参数的控制下,使计数单元开始计数。

当达到预定时间后,再产生一个与时钟同步的脉冲P2。

由P1和P2就可以算出单脉冲的宽度Tw。

8.3.2 流程图的设计根据时序关系,可以做出图5所示的流程图。

在系统复位后,经一定的延时产生一个预置脉冲LOAD,用来预置脉宽参数。

应该注意:复位脉冲不能用来同时预置,要在其之后再次产生一个脉冲来预置脉宽参数。

为了产生单次的脉冲,必须考虑到在按键KEY有效后,可能会保持较长的时间,也可能会产生多个尖脉冲。

因此,需要设计一种功能,使得当检测到KE Y有效后就封锁KEY的再次输入,直到系统复位。

这是本设计的一个关键所在。

基于IGBT与可控硅控制的强脉冲磁场发生器的设计

基于IGBT与可控硅控制的强脉冲磁场发生器的设计
L h ̄at, N u,H N hn g g,uY —m X i ,I i I Cu-n WA GX A GZog a 2 u ln,UB L Y一 U Z -n D y n 眦
( . f mm o oeeN rh 1h o f nC lg , ote i l
2 鲫帕吨 .
刘春玲 王 旭 张忠刚。杜 玉远 徐 , , , , 彬 李一娜 ,
(. 1东北大 学 信息学院 , 辽宁 沈 阳 100 ; . 104 2 沈空专用车辆技术保 障队 , 辽宁 沈 阳 冲发 生器的设计 方案 , 提 应用此方案设计 了基 于 IB G T控 制充电 、 可控硅控制放 电、 可以 自动运行
n C fe i dc r n oe ete)ot l i hr a vl e csu y 1 ai m d et ur t o eW u adS R mcnut ot ldR cfrcn o d ca ew s ee pdsce fl. em x u rc cr n vl g a pt S o o C rl i i r s g d o u sl m i e t a s o
Al t tA einfr t n usdman t e e e tr a rsne A man t edg nrtr ̄sdo G Tc nrl h re  ̄l : d s r gp le ge cf l gn ro Spee td. gei f l e eao e nI B o t ag me g o so i i d a W ci t oc
的脉冲磁场发 生设备 。最 大直流 电压达到1 0 , 电线 圈中心得 到 1T 0 在放 2 V 0 的磁场 。该设备 由 1 A 8C2 片 T95 单片机控制
其 实现 自动运行和手动运行 , 到最高 1 的强脉 冲磁 场, 阐述 了磁场的标 定方法。 得 并

三相全控桥可控硅整流器的触发电路

三相全控桥可控硅整流器的触发电路

万方数据
《电子技术》2002年第1期
一种单片机控制的三相全控桥可控硅整流器的触发电路
作者: 作者单位: 刊名:
英文刊名: 年,卷(期): 被引用次数:
彭家银, 李晓明, 苗宏志 彭家银,李晓明(武汉大学,武汉,430072), 苗宏志(武汉电力设备厂,武汉,430064)
电子技术 ELECTRONIC TECHNOLOGY 2002,29(1) 3次
丁ID值置人HS0的内容定址存储器CAM中,使能
HS0中断,HSI.0中断返回。HS0通过与定时器
丁1比较,在丁Ⅲ时刻输出高电平,在丁m时刻输出
低电平,这样就形成了第1个脉冲,注意:在HS0中
《电子技术》2002年第1期
万方数据
上海西门子通信电源公司
翠回
(b)Hso中断服务 图4程序流程图
(021)5854 3080×207
1.1工频50Hz锁相倍频原理 工频电源的1个周期理论上应为20ms,但由于
电网负荷的变化,经常出现其周期不严格等于20ms 的情况,其中大于20ms的情况较多。为适应这种 现实,可将单片机对周期的计时改为对频率的计数, 例如,10s内共产生了咒次HSI.0中断,咒/10,然后
1 系统的组成及工作原理
关键词 单片机 可控硅过零触发 锁相环
电力电子变流技术在工业化国家中有着广泛的
生与电源同步的可移相的脉冲信号,此脉冲信号与
应用,其主要由电力电子器件、电力变流电路和控制
单片机的P】.0~5组合后,经脉冲变压器驱动,最
技术组成。在这些电力电子设备中,相控整流占有
后分别送给主电路中可控硅V1~V6的门极。
部输入信号为与电源工频信号同步的V3一HSI.0 (来自电源的工频信号先经变压器变压、锁相环锁相 后再次形成50Hz工频信号,然后送入基准点脉冲 形成电路,进行过零比较、整形输出,送人HSI.0),

励磁系统中可控硅触发脉冲的FPGA编程实现

励磁系统中可控硅触发脉冲的FPGA编程实现

2007.№1 大 电 机 技 术 49励磁系统中可控硅触发脉冲的FPGA编程实现刘艳萍,李素玲,李志军,马军(河北工业大学信息学院,天津 300130)[摘 要]可控硅触发脉冲产生电路适用于单相、三相全控桥式供电装置中。

一般可控硅移相触发电路采用硬件实现。

本文中利用FPGA芯片由VHDL语言编程实现,可以更准确、简便地产生可控硅移相触发所需的双窄脉冲。

与硬件电路实现相比,FPGA(现场可编程门阵列)的实现不但能有效防止由于可控硅换流而引起的误控制,而且集成度高,更精确和灵活。

[关键词]可控硅;移相触发;FPGA;VHDL[中图分类号]TM301.2 [文献标识码]B [文章编号] 1000-3983(2007)01-0049-03Realization of Thyristor Trigger Pulse Based on FPGA in the Excitation SystemLIU Yan-ping , LI Su-ling , LI Zhi-jun, MA Jun(Hebei University of Technology, Tianjin 300130, China)Abstract:Thyristor trigger pulse is suitable to be used in single-phase or three-phasefull-control-bridge power supply device. The trigger pulse is usually generated by hardware. Thispaper introduced a new way which used VHDL programming language in FPGA chip. This way ismore accurate, convenient and flexible. In compared with the hardware realization, this way can notonly avoid false control caused by the phase-change of thyristor effectively, but also have highintegration.Key words:thyristor;phase-shifted trigger;FPGA;VHDL1引言可控硅励磁系统对发电机的运行可靠性、经济性有直接的影响,在维持电力系统稳定性方面起着非常重要的作用。

可控脉冲发生器的设计

可控脉冲发生器的设计

可控脉冲发生器的设计一、 实验目的1、 了解可控脉冲发生器的实现机理。

2、 学会用示波器观察FPGA 产生的信号。

3、 学习用VHDL 编写复杂功能的代码。

二、 实验原理脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。

可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。

通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。

下面举个简单的例子来说明其工作原理。

假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。

这样输出的脉冲波的周期和占空比分别为:三、 实验内容编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。

用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。

四、 实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity exp10 isport( Clk : in std_logic; --时钟输入Rst : in std_logic; --复位输入⎩⎨⎧≤≤<≤=N T M M T Q 001%1001)1(⨯+=+=N M T N CLOCK占空比周期NU,ND : in std_logic; --输入:控制频率的改变MU,MD : in std_logic; --输入:控制占空比的改变Fout : out std_logic --波形输出);end exp10;architecture behave of exp10 issignal N_Buffer,M_Buffer : std_logic_vector(10 downto 0);signal N_Count :std_logic_vector(10 downto 0);signal clkin : std_logic;signal Clk_Count : std_logic_vector(12 downto 0); --产生一个低速时钟,用于按键判断beginprocess(Clk) --计数器累加beginif(Clk'event and Clk='1') thenif(N_Count=N_Buffer) thenN_Count<="00000000000";elseN_Count<=N_Count+1;end if;end if;end process;process(Clk) --波形判断beginif(Clk'event and Clk='1') thenif(N_Count<M_Buffer) thenFout<='1';elsif(N_Count>M_Buffer and N_Count<N_Buffer) thenFout<='0';end if;end if;end process;process(Clk)beginif(Clk'event and Clk='1') thenClk_Count<=Clk_Count+1;end if;clkin<=Clk_Count(12);end process;process(clkin) --频率及占空比的改变1beginif(clkin'event and clkin='0') thenif(Rst='0') thenM_Buffer<="010********";N_Buffer<="10000000000";elsif(NU='0') thenN_Buffer<=N_Buffer+1;elsif(ND='0') thenN_Buffer<=N_Buffer-1;elsif(MU='0') thenM_Buffer<=M_Buffer+1;elsif(MD='0') thenM_Buffer<=M_Buffer-1;end if;end if;end process;end behave;五、时序仿真图。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

2007.№1 大 电 机 技 术 49励磁系统中可控硅触发脉冲的FPGA编程实现刘艳萍,李素玲,李志军,马军(河北工业大学信息学院,天津 300130)[摘 要]可控硅触发脉冲产生电路适用于单相、三相全控桥式供电装置中。

一般可控硅移相触发电路采用硬件实现。

本文中利用FPGA芯片由VHDL语言编程实现,可以更准确、简便地产生可控硅移相触发所需的双窄脉冲。

与硬件电路实现相比,FPGA(现场可编程门阵列)的实现不但能有效防止由于可控硅换流而引起的误控制,而且集成度高,更精确和灵活。

[关键词]可控硅;移相触发;FPGA;VHDL[中图分类号]TM301.2 [文献标识码]B [文章编号] 1000-3983(2007)01-0049-03Realization of Thyristor Trigger Pulse Based on FPGA in the Excitation SystemLIU Yan-ping , LI Su-ling , LI Zhi-jun, MA Jun(Hebei University of Technology, Tianjin 300130, China)Abstract:Thyristor trigger pulse is suitable to be used in single-phase or three-phasefull-control-bridge power supply device. The trigger pulse is usually generated by hardware. Thispaper introduced a new way which used VHDL programming language in FPGA chip. This way ismore accurate, convenient and flexible. In compared with the hardware realization, this way can notonly avoid false control caused by the phase-change of thyristor effectively, but also have highintegration.Key words:thyristor;phase-shifted trigger;FPGA;VHDL1引言可控硅励磁系统对发电机的运行可靠性、经济性有直接的影响,在维持电力系统稳定性方面起着非常重要的作用。

它从发电机端或交流励磁机取得交流励磁电源,其功率输出部分的主要任务就是将交流电压变换成直流电压,以满足发电机励磁绕组或励磁机磁场绕组的需要。

三相桥式全控整流电路为其功率输出部分的常用电路,本文提供了一种用FPGA编程产生可控硅触发脉冲的方法。

2三相桥式全控整流原理及控制角α三相桥式全控整流电路的六只整流元件全部采用可控硅(共阳极组的元件在各自的电源电压为正半周期时导通,而共阴极组的元件则在其电源电压负半周期时导通)。

所有可控硅元件均靠触发换流,且控制角α相同。

六只可控硅的导通条件是相同的,即都必须在其阳极承受正向电压期间在控制极上加触发脉冲。

为使全控桥能正常工作,形成电流通路,必须使共阳极组和共阴极组的元件在任一瞬间各有一只处于导通状态(在换流期间则有三只元件导通,其中两只处于换流状态)。

触发脉冲必须适应三相桥式全控整流电路的要求,当选择采用双脉冲触发时,即触发脉冲信号源同时发出两个脉冲,如果一个触发脉冲加至共阴极组的一个元件,则另一触发脉冲加至共阳极组中的前一个元件。

因此,用双窄脉冲触发,在一个周期中对每一只可控硅触发两次,两次脉冲中间的间隔为60°。

共阳极可控硅依次导通,它们的触发脉冲间隔依次有120°的相位差;同理,共阴极可控硅的触发脉冲也依次相差120°相位。

移相触发就是通过改变晶闸管每周期导通的起始点即触发延迟角α的大小,达到改变输出电压、功率的目的。

通过改变控制角α的大小,可以改变整流桥输出直流平均电压的大小,也即调节了发电机的励磁电流。

数字移相触发是把算出的控制角α折算成对应的延时t=αT/360(T为晶闸管交流电源周期),t乘计数时钟频率则得计数脉冲数。

3 FPGA芯片选择及硬件电路FPGA的制造工艺确定了FPGA芯片中包含的辅机及其他励磁系统中可控硅触发脉冲的FPGA 编程实现 2007.№150LUT (查找表)和触发器的数量非常多,往往都是成千上万,所以如果设计中用到大量触发器,例如设计一个复杂的时序逻辑,则使用FPGA 是一个很好的选择。

FPGA 中大量的触发器为可控硅触发脉冲的产生提供了足够的资源。

利用FPGA 可以灵活方便准确地实现所需功能。

该设计中选用的FPGA 芯片是ALTERA 公司的FLEX10K 系列芯片EPF10K20TC144-3。

FLEX10K 系列芯片是ALTERA 公司开发的第一款嵌入式FPGA 芯片。

该芯片具有高密度、低功耗、高速度、多种配置方式、内部阵列互连方式灵活等特点。

JTAG 下载线及接口用于程序调试。

由于EPF10K20TI144-3只有12K 的片内RAM ,无ROM 存储器,所以外扩一个EEPROM 存储用户的程序,在上电后程序自动加入EPF10K20 内执行,可脱离上位机独立运行。

该设计中使用的EEPROM 是ATMEL 公司的 AT17C65存储器,该存储器与FLEX10K 兼容,并支持多个公司生产的不同型号的FPGA 。

全局时钟信号clk 及配置时钟clk1频率均为6MHz 。

具体硬件接口电路设计如图1所示。

图1 硬件接口电路输入信号A 、B 、C 为三相电压,en 为闭锁控制信号,16位数据为计数脉冲数;输出信号s1,s2,s3,s4,s5,s6为所需的六路触发脉冲。

4 FPGA 软件编程实现可控硅双脉冲4.1 程序功能设计由控制角α算出对应的延时t=αT /360(T 为晶闸管交流电源周期),t乘计数脉冲频率则得计数脉冲数值i ,将i 由16根数据线送入FPGA 芯片内。

计数脉冲频率为FPGA 芯片的全局时钟频率。

三相电压输入分别为A ,B ,C ,均为50Hz 占空比50%的方波信号,所产生的双触发脉冲的宽度可通过程序中定义的变量j 来进行调整。

程序主要设计实现两个功能:对计数脉冲数据i 的锁存和计数产生触发脉冲。

电压A ,B ,C之间相位相差120°,为能及时更新计数脉冲数据i (即α角的更新),数据锁存周期为10/3ms,即在A ,B ,C 脉冲的上升沿和下降沿均对数据i 进行一次锁存。

对每相电压均设有两个计数器,分别对其正相和负相进行计数,共6个计数器count1,count2, count3, count4, count5, count6。

在A 的上升沿count1开始计数,当i ≤count1≤i +j 时,持续产生一高电平a1,其他时间a1为低电平,即可产生一所需触发脉冲;在A 的下降沿count1清零,count2开始计数,当i ≤count2≤i +j 时,持续产生一高电平a2,其他时间a2为低电平,即可产生另一所需触发脉冲。

B 、C 与A 类似可产生b1、b2、c1、c2触发脉冲(如图2所示)。

最后将a1与c2相或得触发脉冲s1,c2与b1相或得触发脉冲s2,b1与a2相或得触发脉冲s3,a2与c1相或得触发脉冲s4,c1与b2相或得触发脉冲s5,b2与a1相或得触发脉冲s6。

此时已得到最终所需的六路双窄触发脉冲。

另外若将信号s1,s2,s3,s4,s5,s6分别与en 相与后再输出,即可实现闭锁功能。

图2 脉冲与三相电压相位关系4.2 VHDL 程序进程p1为整个程序的核心部分,主程序主要有六个类似p1的进程和锁存进程组成。

由脉冲信号a1,a2,b1,b2,c1,c2做正确的或运算得s1,s2,s3,s4,s5,s6六个触发脉冲。

另外将信号s1,s2,s3,s4,s5,s6分别与en 相与后再输出,可实现闭锁功能。

p1: process(clk)variable count1 : std_logic_vector(16 downto 0); variable count2 : std_logic_vector(16 downto 0); beginif(clk’event and clk=‘1’)then if(a=‘1’)thencount2:=“00000000000000000”;EPT10K20TI144-316位数据 16 +5V+5VVCC GND JTAG1KTCKTDI TDO TMS TCK TDI TDO TMSGCLK1 I/OI/OI/OI/O CLK DA T ARESETn/OE CEn +5VAT17C65S1 S2 S3 S4 S5 S6DCLK DO nST A TUS CONF DONEnCONFIGnCE MSEL0MSEL1I/O I/O I/OI/O I/O I/O CLK1clk A B C en2007.№1 大 电 机 技 术51count1:=count1+‘1’;if(count1>=d2 and count1<=d2+j) then a1<=‘1’; elsea1<=‘0’;end if ;elsif(a=‘0’)then count1:= “ 00000000000000000”; count2:=count2+‘1’;if(count2>=d1 and count2<=d1+j) thena2<=‘1’; elsea2<=‘0’; end if ; end if ; end if ; end process p1 4.3 仿真结果计数脉冲数i 变化时,α角也有相应的变化。

所得六路触发脉冲s1,s2,s3,s4,s5,s6保证同时触发全控整流桥中相邻的两个可控硅,其中一个在共阳极组中,一个在共阴极组中。

仿真结果如图3所示。

图中仿真时间为40ms (两个周期),黑色部分因为脉冲频率太高无法在屏幕显示两个周期时展开,故为黑色。

图3 MAX+plus Ⅱ仿真结果5 分析及结论本文提供了一种新型的应用FPGA 芯片实现励磁系统可控硅触发脉冲的方法。

该设计可以灵活地产生三路可控硅触发脉冲,可通过修改程序来改变触发脉冲的宽度,方便地增加和完善程序功能,使脉冲触发电路的实现更加准确、灵活,集成度也大大提高。

该方法有效地降低了系统的复杂程度,提高了系统的可靠性,灵活性,减少了系统的硬件开支。

[参 考 文 献][1] 刘忠源. 同步电机可控硅励磁系统[M]. 华北水利水电学院, 1985.[2] 朱振青.励磁控制与电力系统稳定[M]. 西安交通大学, 1996.[3] 赵曙光,郭万有,杨颂华.可编程逻辑器件原理、开发与应用[M]. 西安电子科技大学出版社, 2002. [4] 张昌凡.可编程逻辑器件及VHDL 设计技术[M].华南理工大学出版社, 2003.[收稿日期] 2006-02-20[作者简介]刘艳萍(1967-),教授,博士,1986年毕业于河北工业大学电机与电器专业,长期从事电力系统及自动化设备的设计和研究工作,硕士生导师。

相关文档
最新文档