小数分频
小数分频器的设计及其应用

第24卷 增刊2005年9月国 外 电 子 测 量 技 术Foreign Elect ronic Measurement TechnologyVol.24,Suppl.Sep.,2005作者简介: 尹佳喜(1981-),华中科技大学电气与电子工程学院04级研究生,研究方向电力电子与电力传动。
研究与设计小数分频器的设计及其应用尹佳喜(华中科技大学电气与电子工程学院 湖北武汉430074)摘要:分频器是数字系统设计中最常见的电路之一,在数字系统设计中,经常需要对时钟进行小数倍分频。
本文给出了三种用于实现小数分频的方案,并将三种方案进行了比较。
在此基础上,介绍了小数分频器在直接数字频率合成技术和步进电机驱动速度控制中的两种常见应用。
关键词:小数分频器 直接数字频率合成 步进电机 频率The Design and Application of Decim al Fraction Frequency DividerY in Jiaxi(College of Elect ricit y and Elect ronic Engeneering ,H uaz hong Universit y of S cience and Techlonog y ,W uhan 430074,China )Abstract :Frequency division is one of t he mo st common circuit s in t he design of digital system.Generally ,decimal f raction f requency division is needed.In t his paper ,t hree met hods to realize deci 2mal f raction frequency division are given ,and t he comparison among t he t hree met hods is presented.Applications of decimal Fraction Frequency divider in t he area such as direct digital f requency syn 2t hesis technology and stepper motor drive speed controller are int roduced.K eyw ords :decimal f raction frequency divider ,direct digital frequency synt hesis (DDS ),stepper mo 2tor ,frequency.0 引言 现代电子系统设计中,数字电子系统所占的比例越来越大,现代电子系统发展的趋势是数字化和集成化。
小数分频器的verilog-hdl设计

频率合成技术是现代通讯系统的重要组成部分,他将一个高稳定和高准确度的基准频率,经过四则运算,产生同样稳定度和基准度的频率。
分频器是集成电路中最基础也是最常用的电路。
整数分频器的实现比较简单,可采用标准的计数器或可编程逻辑器件设计实现。
但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。
本文利用veriloghdl硬件描述语言的设计方式,通过modelsimse开发软件进行仿真,设计基于fpga的双模前置小数分频器。
随着超大规模集成电路的发展,利用fpga小数分频合成技术解决了单环数字频率合成器中高鉴相频率与小频间隔之间的矛盾。
1双模前置小数分频原理小数分频器的实现方法很多,但其基本原理一样,即在若干个分频周期中采取某种方法使某几个周期多计或少计一个数,从而在整个计数周期的总体平均意义上获得一个小数分频比,设要进行分频比为k的小数分频,k可表示为:式中:n,n,x均为正整数;n为到x的位数,即k有n位小数。
另一方面,分频比又可以写成:式中:m为分频器输入脉冲数;p为输出脉冲数。
令p=10n,则:以上是小数分频器的一种实现方法,即在进行10n次n分频时,设法多输入x个脉冲。
2电路组成每个周期分频n+10-n.x,其电路双模前置小数分频器电路由÷n/n+1双模分频器、控制计数器和控制逻辑3部分组成。
当a点电平为1时,进行÷n分频;当a点电平为0时进行÷n+1分频。
适当设计控制逻辑,使在10n个分频周期中分频器有x次进行÷n+1分频,这样,当从fo输出10n个脉冲时,在fi处输入了x.(n+1)+(10n-x).n个脉冲,也就是10n.n+x个脉冲,其原理如图1所示。
3小数分频器的verilog-hdl设计现通?过设计一个分频系数为8.7的分频器来给出使用veriloghdl语言设计数字逻辑电路的一般设计方法。
这里使用÷8/9双模前置分频器,按照前面的分析,可以通过计数器计数先做3次8分频,后做7次9分频,即可得到平均分频系数8.7。
基于FPGA的小数分频器设计

第1章 本课题内容1 课题目的频率合成技术是现代通信系统的重要组成部份,是对一个高精度和高稳固度的基准频率进行加、减、乘、除四那么运算,产生具有一样稳固度和基准度的频率。
分频器是数字逻辑电路设计中常常利用的一个大体电路。
通常,整数分频能够很容易地用计数器或状态机来实现。
但在某些场合下,时钟源与所需要的频率并非成整数关系,现在便需要采纳小数分频器进行分频。
一样来讲,小数分频电路能够分为半整数分频电路和非半整数分频电路两类。
关于小数分频的FPGA 设计,目前普遍采纳的方式是双模前置小数分频,和一种由双模前置小数分频改良而取得的小数分频——用一个半整数分频器和一个整数分频器代替双模前置小数分频中的两个整数分频器。
尽管这两种方案输出时钟的占空比误差和抖动性能方面有所不同,但其工作原理却是一致的。
双模前置小数分频器在理论上能够实现任意小数分频。
但在实际的电路设计中,不可能真正实现任意小数分频。
小数分频器的精度受操纵计数器的阻碍,而操纵计数器的设计会受硬件资源的限制,尽管FPGA 有相当丰硕的硬件资源。
另外,基于FPGA 实现的双模前置小数分频器在两个整数分频时钟之间的切换点上,有时候会显现毛刺,而时钟是不该该有毛刺存在的。
现在,就要结合脉冲删除技术,设计出一种能够进行任意小数分频且可不能显现毛刺的小数分频方案,并通过编程实现。
本文利用VHDL 硬件描述语言的设计方式,通过MAXPLUS II 开发平台,利用Altera 公司的FPGA 器件,设计并实现了一种不同占空比的任意小数分频器。
2 小数分频的大体原理假设时钟源的频率为f 0 ,期望取得的频率为f 1 ,那么其分频比X 为: X=10f f (式1-1) 其中,X>1。
假设M<X<M+1,M 为整数,那么有 X=M+212N N N +=2121)1(N N N M N M +⨯++⨯ (式1-2)其中,N 1和N 2均为整数。
当N 1和N 2取不同的正整数时,从)(212N N N +能够取得任意一个小数,就能够够从理论上实现任意小数分频比的分频。
简述小数分频技术原理及其电路机理解析

简述小数分频技术原理及其电路机理解析摘要:本文主要介绍了小数分频技术的理论和故障机理分析关键字:小数分频;小数环;锁相环1引言1964年第一台全晶体管信号发生器的诞生,从此信号发生器便进入了飞速发展阶段。
伴随着电子技术的发展,电子测试测量方向对信号发生器的要求也日益提高,传统的整数锁相技术已经无法满足更高的技术要求。
2小数分频技术的背景及意义整数N分频锁相技术具有锁定频率的特性,可以把整机信号的频率锁定在参考时钟信号频率的整数倍上。
但同时,它在技术层面存在很多瑕疵,整机输出信号的频率只能以参考时钟信号频率的整数倍变化。
当我们需要更高的锁相环频率分辨率时,就只能降低参考时钟频率的大小,而这必然会影响信号发生器中的锁相环性能,导致信号的相位噪声指标变差,降低信号的频谱纯度。
因此,就必须利用其它方法来加强它的频谱纯度。
小数分频运用一种平均的思想来获得小数的分频比。
通过改变分频比的某位小数,就可以在不改变参考频率的情况下来获得较高的频率分辨率。
从而解决了传统整数N分频锁相环路鉴相频率和分辨率相互影响、相互冲突的矛盾。
采用小数分频技术,来提高鉴相频率既可增加环路带宽范围,加强反馈环路增益效果,提高频率转换效率,又可以降低因为大分频比N导致的相位噪声增大现象,从而可获得比整数N分频锁相环路更好的噪声性能,降低环路的相位噪声,提高频谱纯度。
小数分频锁相技术可以使分频比变为小数,对频率进行细分,获得任意小的频率步进,实现了极高分辨率的分频比,它具有频率分辨率高、锁相时间短、相位噪声低的优势。
3小数分频技术的原理小数分频顾名思义,即输出频率可以按参数输入频率的分数倍变化而变化。
其实现原理为:在多个分频周期中,使其某几个周期抽掉一个波形或者加入一个波形,从而在整个的平均计数周期中,得到一个小数分频比。
锁相频率合成器的基本特性是,每当可编程分频器的分频比改变 1 时,得到输出频率增量为参考频率 fr。
假设可编程分频器能提供小数的分频比,每次改变某位小数,就能在不降低参考频率的情况下提高参考频率分频比了。
电子教材-小数分频锁相环的工作原理

4
小数分频锁相环的优点
– 无线对讲机必须以 1Hz 为频率步进
整数分频 锁相环: • 鉴相频率 (PDF) = 1 Hz !!! 小数分频 锁相环: • 鉴相频率 (PDF) = 10 KHz (若 Nfractional = 0.0001)
小数分频锁相环的工作原理
下一代无线基站的成功设计技巧
议程
-PLL 介绍及小数分频锁相环的优点 -小数分频锁相环的错误使用 -小数分频锁相环详解 -参考杂散及如何减少杂散 -总结
2
锁相环基础
R 计数器
fref
无噪声及稳定的 参考信源
÷R
鉴相器 及 电荷泵
环路 滤波器 压控振荡器 N 计数器 ÷N
900 900 900 900 901 900.2 第 1 次 第 2 次 第 3 次 第 4 次 第 5 次 平均值
– 瞬时相位错误会产生大量的小数杂散,并出现在偏移中心频率 Nfractional x PDF 之处
12
Delta sigma 小数分频 锁相环
R 计数器
fref
无噪声及稳定的 参考信源
• N 值越低,增加的噪声便越少
6
小数分频锁相环的优点
-80 Phase noise /dBc/Hz
-120 N=40000 N=400 -160
-200 0.1 1 10 Offset /KHz 100 1000
• N 计数器会令频综的噪声增加 20 log(N) – 整数分频 锁相环: 噪声增幅 = 92 dB – 小数分频 锁相环: 噪声增幅 = 52 dB • N 值越低,增加的噪声便越少
小数分频器原理

基于CPLD/FPGA的半整数分频器的设计摘要:简要介绍了CPLD/FPGA器件的特点和应用范围,并以分频比为2.5的半整数分频器的设计为例,介绍了在MAX+plus II开发软件下,利用VHDL硬件描述语言以及原理图的输入方式来设计数字逻辑电路的过程和方法。
关键词:VHDL CPLD/FPGA 数字逻辑电路设计半整数分频器1 引言CPLD(Complex programmable Logic Device,复杂可编程逻辑器件)和FPGA(Field programmable Gates Array,现场可编程门阵列)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件基础上发展起来的。
同以往的PAL、GAL相比,FPGA/CPLD的规模比较大,适合于时序、组合等逻辑电路的应用。
它可以替代几十甚至上百块通用IC芯片。
这种芯片具有可编程和实现方案容易改动等特点。
由于芯片内部硬件连接关系的描述可以存放在磁盘、ROM、PROM、或EPROM中,因而在可编程门阵列芯片及外围电路保持不动的情况下,换一块EPROM芯片,就能实现一种新的功能。
它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及实时在检验等优点,因此,可广泛应用于产品的原理设计和产品生产之中。
几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。
在现代电子系统中,数字系统所占的比例越来越大。
系统发展的越势是数字化和集成化,而CPLD/FPGA作为可编程ASIC(专用集成电路)器件,它将在数字逻辑系统中发挥越来越重要的作用。
在数字逻辑电路设计中,分频器是一种基本电路。
通常用来对某个给定频率进行分频,以得到所需的频率。
整数分频器的实现非常简单,可采用标准的计数器,也可以采用可编程逻辑器件设计实现。
但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。
比如:分频系数为2.5、3.5、7.5等半整数分频器。
小数分频频率合成器的理论基础(翻译)

小数分频频率合成器的理论基础A. Marques _, M. Steyaert and W. SansenESAT-MICAS, K.U. Leuven, Kard. Mercierlaan 94, B-3001 Heverlee, Belgium本文提出了一种基于锁相回路(PLL)频率合成器的演变概述。
数字PLL的主要限制的描述,以及随之而来的小数N技术使用的必要性是有道理的。
合成频率的旁瓣典型的杂散噪声线的起源进行了解释。
它通过使用数字∆∑调制器来控制分频值展示了如何消除这些杂散噪声线。
最后,数字∆∑调制器的使用同分数N PLL的输出相位噪声的影响一起进行了分析。
1.介绍无线通信领域,在过去十年中有了很大的发展。
这种快速发展,主要是通过引进强大的数字信号处理技术。
这些技术允许执行复杂的调制解调方案,以及先进的数字校正技术,最终产生非常高性能的系统,可以完全或几乎完全集成在一个标准的低成本技术。
典型的接收器/发射器无线系统RF部分如图1所示。
可以看出,在无线系统中,一个或多个频率合成器几乎都是必要的,同时在接收和发射部分。
此频率合成器必须不仅能够产生感兴趣的频带内的所有频率,以及产生具有高纯度的,由于不断下降的频道间距。
图1 典型的射频部分,一个无线接收器/发射器系统在无线系统领域,在过去几年中主要重点一直是在一个完整的系统的全面整合,包括发射器/接收器和频率合成器,使用短沟道CMOS或BiCMOS工艺(见[1,13]其引用)。
因此,频率合成精度高,稳定的需求令人难以置信的增长,特别是对需要的操作频率非常高(在千兆赫兹的范围内)的应用,小频率决议(典型的信道间隔几百万赫兹数100千赫),和低相位噪声数字(100dBc的订单数100千赫载波)。
此外,同时,在过去几十年,数字可编程的频率合成器的需求也增加了。
本文提供了一个数字可编程频率合成器相位锁定回路(PLL)为基础的演变概述。
由于相位噪声实际上是为无线通信系统所要求的规格是非常艰难的,主要的重点将在相位噪声的分析和如何在不削弱系统的其他特征的同时减少它。
deltasigma小数分频dither原理

deltasigma小数分频dither原理Delta Sigma 小数分频是一种将高频信号降频为低频信号的数字信号处理技术。
Dither(抖动)则是在数字信号处理过程中加入一定的噪音,以改善信号的量化误差。
本文将详细介绍Delta Sigma 小数分频和dither 的原理和应用。
一、Delta Sigma 小数分频的原理Delta Sigma(ΔΣ)调制是一种高效的数字信号处理技术,通过将高频信号采样、量化和编码,然后再将编码结果通过可变的数字序列进行调制,最终转换为低频信号。
其主要原理可以简单概括为以下几个步骤:1.采样:将待分频的高频信号进行采样,并将采样结果通过一个低通滤波器进行滤波,以去除高频成分形成基带信号。
2.量化:通过一个高分辨率的ADC(模数转换器)将基带信号进行量化,得到一个比特序列。
量化的目标是尽量减小误差,并保持足够的动态范围。
3.利用反馈和比较器:通过一个比较器将量化的结果与反馈信号进行比较,得到一个差值。
4.可变的数字序列:这个差值将作为一个可变的数字序列,控制下一个采样周期中采样的时刻和幅度。
5.重复以上过程:重复以上过程直到最新的差值足够小,或者达到设定的停止条件。
Delta Sigma 小数分频的主要特点是需要较高的过采样率,即采样频率要远高于分频后的输出频率。
这样可以利用高采样率降低量化误差,并通过反馈调制得到准确的分频结果。
二、Dither 的原理Dither 是一种在数字信号处理过程中引入一定程度的噪音的技术,主要用于解决量化误差带来的问题。
在数字信号处理中,由于信号是以离散的方式进行采样和量化,因此可能会出现量化误差。
量化误差是指将连续的模拟信号转换为离散的数字信号时所引入的误差。
这种误差会导致信号失真和动态范围的减小。
Dither 的作用是通过引入一定程度的噪音,将量化误差转化为随机的噪音,从而改善信号的质量。
具体原理如下:1.加入噪音:在量化过程中,将一个随机的、均匀分布的噪音序列加到待量化信号上。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
component sel port( clock_in : in std_logic; Xnumber : in std_logic_vector(3 downto 0); sel_out : out std_logic); end component; ---------------------------------------------component mux_21 port( a : in std_logic; b : in std_logic; s : in std_logic; y : out std_logic); end component; -----------------------------------------------signal selt : std_logic; 信号定义 signal selt_not : std_logic;
7.3 、7.32
1.2 分频选择
• 以7.3分频为例: 要实现7.3分频,只要在10次分频中,做10-3=7次除7,3 次初8就可以得到:N=(7*7+3*8)/10=7.3。 • 以7.32分频为例: • 要实现7.32分频,只要在每100次分频中做100-32=68次除 7,32次除8,即可得到:N=(68*7+32*8)/100=7.32 • 所以,对于任何M.F分频: 都可以做(10-F)次除M,F次除(M+1),即可得到 N=[(10-F)*M+F(M+1)]/10=[10*M-F*M+F*M+F]/10 =[10*M+F]/10=M.F
signal clock_1 : std_logic; signal clock_2 : std_logic; signal clock_sel: std_logic; signal n_fd : std_logic_vector(3 downto 0); signal n1_fd : std_logic_vector(3 downto 0); ------------------------------------------------------------begin number0 : number port map (n, n_fd, n1_fd); fdn0 : fdn port map (clock, selt_not, n_fd, clock_1); fdn1 : fdn port map (clock, selt, n1_fd, clock_2); mux_210 : mux_21 port map (clock_2, clock_1, selt, clock_sel); sel0 : sel port map (clock_sel, x, selt); selt_not <= not selt;clock_out <= clock_sel; end arch; 顶层文件描述结束
architecture arch of NdotXfd is
结构体
component number port( n : in std_logic_vector(3 downto 0); number0 : out std_logic_vector(3 downto 0); number1 : out std_logic_vector(3 downto 0) ); end component; --------------------------------------------------元件例化 component fdn port( clock_in : in std_logic; enable : in std_logic; n_of_fd : in std_logic_vector(3 downto 0); clock_out : out std_logic); end component;
2
3
3
2
3
3
2
3
3
可见,在10次分频中进行了7次3分频和3次2分频
1.3 任意整数分频
(1)偶数分频
偶数分频是易于实现的。当计数器输出为0到N/2-1 时。时钟输出0或1,计数器输出为N/2时到N-1时,时 钟输出1或0,当计数器数到N-1时,复位计数器,如 此循环下去,就可以实现对输入频率的偶数分频。 这种方案可以有限度的调整占空比。 以4分频为例:
每进行一次分频,计数值为小数部分累计相加,如果大于10, 则进行N+1次分频,累计值再减去10;若小于10则进行 N次分频。
• 以方法对输入频率进行2.7次分频,分频器选择:
序列 累加 值 1 7 2 14 3 11 4 8 5 15 6 12 7 9 8 16 9 13 10 10 3
分频 系数
•可见,实现N.F的小数分频,只需要对输入信号做不同次数的 N分频和N+1分频,就要设方法将两种分频混合均匀。 •这种“均匀”工作是通过计数器来完成的。
两种方法: 每进行一次分频,计数值为10减去分频系数的小数部分,各 次的计数值累加;若累加值小于10,进行N+1分频,若大于或 等于10,进行N分频。
基于VHDL的小数分频设计
• 1. 小数分频的基本原理 • 2. 各个模块功能的实现
1.基本原理分析
1.1小数分频的基本原理
• 小数分频的基本原理:即在若干个分频周期中采取某种方 法使某几个周期多计和少计一个数,从而在整个计数周期 的总体平均意义上获取一个分频比。 • 分频比:K=M/P。 M代表分频器输入的脉冲数; K代表分频器输出的脉冲数; 10 n X)P M=K*P=(N+ 实质:设定一个分频比使得:输出频率=输入频率/分频比。
• 2. 各个模块功能的实现
2.1 顶层文件
1:NdotXfd,顶层文件 library ieee; use ieee.std_logic_1164.all; 库、程序包 use ieee.std_logic_unsigned.all; -------------------------------------------------------entity NdotXfd is port ( clock : in std_logic; n : in std_logic_vector(3 downto 0); x : in std_logic_vector(3 downto 0); 实体 clock_out : out std_logic ); end entity;
------------------------------------------------------------
小数分频是由两个不一样的整数:1 的比例混合而成的。
假设:每5个脉冲为一组,当计数器记到2两个脉冲时, 产生一个输出脉冲,当计数器记后3个脉冲时, 产生一个输出脉冲。
那么,这5个输入脉冲就产生了2个输出脉冲。 从而,得到分频比为 k=5/2=2.5。
(2)奇数分频
若进行非50%的奇数分频,则按照偶数分频的方法来 进行分频。 要实现占空比为50%的2N+1分配器,则需要对待分频 时钟上升沿和下降沿分别进行N/(2N+1)分频,然后将 两个分频所得的时钟信号相或,即可得到占空比为 50%的2N+1分频器。 以5分频为例: 要实现占空比为50%的5分频,要对上升沿进行2分频, 对下降沿进行2分频,再将得到的信号进行或运算, 即可得到。