编码器和译码器的设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

长沙理工大学

《计算机组成原理》课程设计报告

编码器和译码器的设计

刘宝

学院计算机与通信工程专业计算机科学与技术班级085010502 学号200550080229

学生姓名刘宝指导教师肖晓丽

课程成绩完成日期2007年1月18日

课程设计任务书

计算机与通信工程学院计算机科学与技术专业

课程设计成绩评定

学院计算机通信工程专业计算机科学与技术班级计05-02 班学号200550080229 学生姓名刘宝指导教师肖晓丽

课程成绩完成日期2008年1月18日指导教师对学生在课程设计中的评价

指导教师对课程设计的评定意见

编码器和译码器的设计

学生姓名:刘宝指导老师:肖晓丽

摘要编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。课程设计结构简单,使用方便,具有一定的应用价值。

关键字计算机电路;EDA;编码器;译码器

目录

1引言 (1)

1.1 设计的目的 (1)

1.2 设计的基本内容 (1)

2 EDA、VHDL简介 (1)

2.1 EDA技术 (1)

2.1.1 EDA技术的概念 (1)

2.1.2 EDA技术的特点 (2)

2.2 硬件描述语言——VHDL (2)

2.2.1 VHDL的简介 (2)

2.2.2 VHDL语言的特点 (2)

2.2.3 VHDL的设计流程 (3)

3 设计规划过程 (4)

3.1编码器的工作原理 (4)

3.2译码器的工作原理 (4)

3.3课程设计中各部分的设计 (4)

3.3.1八-三优先编码器的设计 (4)

3.3.2三-八译码器的设计 (5)

3.3.3二-四译码器的设计 (6)

4 系统仿真 (7)

4.1八-三优先编码器仿真及分析 (7)

4.2三-八译码器仿真及分析 (8)

4.3二-四译码器仿真及分析 (8)

结束语 (10)

致谢 (11)

参考文献 (12)

附录 (13)

1引言

随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。

1.1 设计的目的

本次设计的目的就是通过实践掌握计算机组成原理的分析方法和设计方法,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。

1.2 设计的基本内容

根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。

2 EDA、VHDL简介

2.1 EDA技术

2.1.1 EDA技术的概念

EDA是电子设计自动化(E1echonics Des5p AM·toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。

2.1.2 EDA技术的特点

采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。

2.2 硬件描述语言——VHDL

2.2.1VHDL的简介

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为A I/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为A I/IEEE的A I/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。VHDL的英文全写是:VHSIC(Very High eed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。

2.2.2 VHDL语言的特点

VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,可以在任何文字处理软件环境中编辑。除了含有许多具有硬件特征的语句外,其形式、描述风格及语法十分类似于计算机高级语言。VHDL程序将一项工程设计项目(或称设计实体)分成描述外部端口信号的可视部分和描述端口信号之间逻辑关系的内部不可视部分,这种将设计项目分成内、外两个部分的概念是硬件描述语言(HDL)的基本特征。当一个设计项目定义了外部界面(端口),在其内部设计完成后,其他的设计就可以利用外部端口直接调用这个项目。VHDL的主要特点如下:

1. 作为HDL的第一个国际标准,VHDL具有很强的可移植性。

2. 具有丰富的模拟仿真语句和库函数,随时可对设计进行仿真模拟,因而能将设计中的错误消除在电路系统装配之前,在设计早期就能检查设计系统功能的可行性,有很强的预测能力。

相关文档
最新文档