基于FPGA的QPSK调制解调电路设计与实现_杨大柱
基于FPGA的QPSK OFDM调制解调器设计与实现

基于FPGA的QPSK OFDM调制解调器设计与实现OFDM(正交频分多路复用)是一种高效的调制解调技术,常用于无线通信系统中。
本文将介绍基于FPGA的QPSK(四相移键控)OFDM调制解调器的设计与实现。
一、引言OFDM技术在无线通信领域有着广泛的应用,其通过将高速数据流分成多个低速子载波进行传输,有效提高了系统的传输效率和频谱利用率。
而QPSK调制方式在OFDM系统中常被使用,能够传输两个比特的信息。
二、系统设计1. 系统框架基于FPGA的QPSK OFDM调制解调器主要包括信号生成、调制、多载波复用、通道传输、接收、解调等模块。
其中,信号生成模块负责产生待发送的信息信号;调制模块将信息信号进行QPSK调制;多载波复用模块将调制后的信号进行串行-并行转换;通道传输模块将并行数据通过多个子载波进行传输;接收模块接收并处理接收到的信号;解调模块将接收到的信号进行QPSK解调,得到原始信息信号。
2. 信号生成在信号生成模块中,我们可以使用伪随机序列发生器生成随机的数字信号作为待发送的信息源。
这里我们选择使用16位的二进制数字信号。
3. QPSK调制QPSK调制模块将二进制信号映射到复平面上的四个相位,即正弦信号与余弦信号共同构成的星座图。
通过将两个比特的输入分别映射到正弦信号与余弦信号的相位上,得到QPSK调制信号。
4. 多载波复用多载波复用模块将QPSK调制信号进行串行-并行转换,将多个并行的调制信号通过并行数据总线发送到通道传输模块。
5. 通道传输通道传输模块将并行的调制信号通过多个子载波进行传输。
在传输过程中,可能会出现信道衰落、噪声等问题,需要引入信道估计和均衡技术进行处理。
6. 接收与解调接收模块接收到经过信道传输后的信号,并进行信道估计和均衡处理,将接收到的信号进行QPSK解调,得到原始的二进制信息。
三、系统实现本文使用基于FPGA的开发板进行系统的实现。
通过使用硬件描述语言进行电路的设计,将各个模块进行逻辑连接,实现QPSK OFDM 调制解调器的功能。
如何实现一种基于FPGA全数字高码率QPSK调制设计?

如何实现一种基于FPGA全数字高码率QPSK调制设计?1 ** 全数字高码率QPSK调制解调软件设计**1.1 QPSK调制1.1.1 QPSK调制原理1.1.2 QPSK并行调制实现调制(信号)的符号速率达到500Mbps,根据奈奎斯特采样定理,DA的采样频率采用2Gbps。
由于数据速率比较的高,对(FPGA)运算要求太高,因此在设计过程中,采用并行处理的方式,来减轻对FPGA运算的压力。
图1-1为高码率500M QPSK调制实现框图。
其实现的原理为将二进制数据流经过QPSK映射后形成I、Q两路基带信号,在经过8倍成型(滤波器)后,分别与两路正交的数字本振混频后相加输出至(DAC)即可。
图1-1 并行QPSK调制实现框图1.1.2.1 QPSK符号映射QPSK信号的每个码元包含两个比特(信息),可用ab表示。
ab 序列有四种排列,即00,01,10,11。
每种排列对应4种不同的调制相位。
通常各种排列的相位关系按照格雷码进行编码,其符号映射关系如图1-2所示。
图1-2 QPSK映射星座图在实现过程中,将每个符号所包含的两比特二进制信息,分别对应为I、Q两路,先到的信息比特映射为I路,后到的信息比特映射为Q路。
其中二进制0对应正值(逻辑高+1),二进制1对应负值(逻辑低-1)。
图1-3为500Mbps QPSK调制(MATLAB)(仿真)映射星座图,从图中可以看出基带数据严格聚集在[-1,-1],[-1,1],[1,-1],[1,1]四个相位点上。
图1-3 500MbpsQPSK调制MATLAB仿真映射星座图1.1.2.2数字基带成型滤波由于现代无线电(通信)及卫星通信中,频带和功率一般均受限。
一方面,为了有效利用信道,节约频谱资源,需要对发射信号进行带限;另一方面,当矩形脉冲通过带限信道时,脉冲会在时间上扩展,每个符号的脉冲将扩展到相邻符号的码元内,这会造成码间串扰(ISI),并导致接收机在(检测)码元时发生错误的概率增大。
基于VHDL语言的QPSK调制与解调的实现解读

毕业设计(论文)题目:基于VHDL语言的QPSK调制与解调的实现年月日毕业设计(论文)原创性声明本人郑重声明:所提交的毕业设计(论文),是本人在导师指导下,独立进行研究工作所取得的成果。
除文中已注明引用的内容外,本毕业设计(论文)不包含任何其他个人或集体已经发表或撰写过的作品成果。
对本研究做出过重要贡献的个人和集体,均已在文中以明确方式标明并表示了谢意。
论文作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保障、使用学位论文的规定,同意学校保留并向有关学位论文管理部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。
本人授权省级优秀学士学位论文评选机构将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。
本学位论文属于1、保密□,在_____年解密后适用本授权书。
2、不保密□。
(请在以上相应方框内打“√”)论文作者签名:日期:年月日导师签名:日期:年月日目录摘要 (I)Abstract.................................................. I I 1 绪论.. (1)1.1 数字调制解调技术 (1)1.2 研究内容及意义 (1)2 MPSK的调制原理 (2)2.1 二进制移相键控(BPSK) (2)2.2 MPSK调制与解调的原理 (2)2.3 QPSK调制原理 (3)3 系统设计 (5)3.1 QPSK调制系统 (5)3.1.1 成型滤波器 (5)3.1.2 QPSK信号的载波调制 (5)3.1.3 QPSK的星座图 (5)3.2 调制解调设计 (6)3.2.1 QPSK调制原理 (6)3.2.2 QPSK调制电路框图 (6)3.2.3 QPSK解调原理 (7)3.2.4 QPSK解调电路框图 (8)4 系统实现 (9)4.1 硬件描述性语言 (9)4.2 软件开发工具 (10)4.3 调制模块 (10)4.2 解调模块 (10)5 程序实现与仿真 (12)5.1 工程的建立 (12)5.2 调制程序仿真 (13)5.3 解调程序仿真 (15)结论 (18)参考文献 (19)附录 (22)基于VHDL语言的QPSK调制与解调的实现摘要:随着当今世界信息化的飞速发展,各国对通信行业都加大了支持。
基于FPGA的QPSK解调技术的设计与实现的开题报告

基于FPGA的QPSK解调技术的设计与实现的开题报告一、选题背景及意义随着现代通信技术的发展,频谱资源越来越紧张,为提高频谱利用效率,射频通信系统中使用数字调制技术是一种可有效降低带宽能量占用和提高信道容量的方式。
其中一种常用的数字调制技术是QPSK调制,它可以将两路单极性NRZ数据分别调制在正弦波和余弦波载波上,实现带宽利用率的提高。
在接收端,解调器需要对QPSK调制信号进行还原,提取出原始的信息数据。
因此,本课题选取了基于FPGA的QPSK解调技术的设计与实现作为研究方向,旨在探索一种高效实现数字信号解调的方法,为提高现代通信技术的发展水平做出贡献。
二、研究内容1. 系统总体设计本课题设计的QPSK解调系统包括射频前端的载频同步、时序同步、均衡、解调等模块,还包括数字信号处理相关的滤波器、采样率变换等模块。
通过这些模块的协同作用,将接收到的QPSK调制信号解调还原成原始的数字信号数据流。
2. 载频同步模块该模块负责完成载频的同步,用于去除接收端的时移影响和相位偏差。
常用的载频同步算法有Costas算法、DDS算法、ZT算法等。
3. 时序同步模块该模块用于解决接收数据中时序抖动的问题,采用软判决算法实现。
4. 均衡模块该模块用于抑制信道传输时产生的干扰,提高系统的抗干扰性能。
常用的均衡算法有线性均衡算法、决策反馈均衡算法等。
5. 解调模块该模块用于将QPSK调制信号还原成原始数字信号。
该模块通常包括滤波器、采样率变换器等子模块。
三、研究计划第一年:我们将完成系统的总体设计,并完成载频同步模块和时序同步模块的算法研究和验证。
同时进行硬件平台的搭建和仿真测试。
第二年:我们计划完成均衡模块和解调模块的算法研究和验证,并将这些模块集成到硬件平台上。
在验证完成后,完善系统的功能和性能,并进行实际场景测试。
第三年:在系统的测试和实际应用中不断完善和优化,提高系统的性能和稳定性,并探索将该技术应用到更广泛领域的可能性,为现代通信技术的发展做出更大的贡献。
基于FPGA的QPSK高速数字调制系统的研究与实现

基于FPGA的QPSK高速数字调制系统的研究与实现摘要:介绍了一种基于FPGA的QPSK的高速数字调制系统的实现方案。
先从调制系统的基本框图入手,简要介绍其实现原理及流程;然后着重介绍FPGA功能模块的软件编程、优化及整个系统的性能。
关键词:FPGA QPSK 直接序列扩频高速调制1 系统实现原理及流程本调制系统的设计目的是实现高速数字图像传输。
系统的硬件部分主要包括FPGA、A/D转换器、D/A转换器、正交调制器、输出电路等。
根据数字图像传输的特点,采用扩频调制技术。
这是因为扩频方式的抗干扰、抗衰落及抗阻塞能力强,而且扩频信号的功率谱密度很低,有利于隐蔽。
同时,为了提高数据传输的可靠性和有效性,降低信号失真度,减少码间干扰,在调制系统中还加入编码、交比例中项及匹配滤波。
这些处理都在FPGA中实现,使整个调制系统具有可编程的特点,易于根据实际要求进行功能上的扩展和缩减。
系统的原理框图如图1所示。
电路的具体工作过程为:图像信号经过A/D转换器AD9214完成模/数转换,输出信号送入FPGA。
由FPGA对信号进行编码、交织、串/并变换、扩频调制及匹配滤波。
FPGA输出两路数字信号,经过双D/A转换器AD9763实现数/模转换,输出两路模拟信号。
这两路信号经过正交调制器AD8346正交调制输出,实现QPSK调制。
因为正交调制器输出的信号功率较小,所以将其经过模拟放大器放大和带通滤波,之后再送到输出。
在整个调制系统中,FPGA模块的软件设计是最为重要的,也是进行系统优化的主要部分,它的优劣会直接影响整个系统的性能。
下面对这部分进行详细的介绍。
2 软件部分实现原理FPGA模块的软件设计部分包括以下几个方面:编码、交织、串并变换、扩频、匹配滤波以及复位和时钟。
2.1 编码和交织数字通信中经常使用信道编码加交织模块来提高数据传输的可靠性和有效性。
为了达到一定的增益要求,选择卷积码中纯编码增益为3.01的(1,1,6)码(在大信噪比下),并对其进行增信删余。
QPSK调制解调技术的设计与仿真

摘要本文主要阐述的是QPSK调制与解调电路的设计。
数字调制解调技术在数字通信中占有非常重要的地位。
为了使数字信号在带通信道中传输,必须用数字信号对载波进行调制。
根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制)。
多进制数字调制与二进制相比,其频谱利用率更高,在有限的信道频带内,能够传输高速数据。
数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。
多进制数字调制技术与FPGA的结合使得通信系统的性能得到了迅速的提高。
文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。
MUXPLUSⅡ环境下进行编译、综合仿真,验证了设计的正确性。
此外,本方案采用了相位选择法,与常用的调相解调法相比,设计更简单,更适合于FPGA实现,系统的可靠性也更高。
通过对仿真波形的分析可知,该方案很好的实现了QPSK调制与解调功能。
关键词:PSK FPGA QPSK调制解调AbstractThis article mainly deals with the design of QPSK modulation and demodulation circuit. Technology of digital modulation and demodulation plays an important role in digital communication system.In order to transmit digital signal in band-pass channel,digital signal must be used on the carrier modulation..According to the different bands of digital signal that is handled,there are binary and multi-band pared with binary modulation ,multi-band modulation has higher specrum utilization rate,and it could transmit high-speed data in limited-band channel.The combination of digital communication technology and FPGA is a certainly trend of the development of modern communication system.The combination of multi-band modulation and FPGA makes the performance of communication system a rapid increase.The paper introduces the principle of QPSK modulation and demodulation, the circuits are also be realized based on FPGA. The complier and simulation under MAX+PLUSII environment provides the correction of the design..In addition, this design employs phase selection ,compared with the PM demodulation method,phase selection is simpler,more suitable for FPGA implementation,the reliability of the system is higher.The analysis of simulation waveform indicates that the programme achieves QPSK modulation and demodulation functions well.Keywords:PSK FPGA QPSK modulation demodulation目录摘要 (I)ABSTRACT.................................................... I I 前言 (1)1.绪论 (2)1.1QPSK的简介 (2)1.2FPGA和CPLD简介 (2)1.3VHDL简介 (3)1.4MAX+PLUS II简介 (3)2.调制与解调电路的基本设计原理 (4)2.1QPSK调制的电路原理图 (5)2.2QPSK解调的电路原理图 (5)3.QPSK调制与解调电路的设计 (6)3.1调制电路的设计 (6)3.1.1 设计思路 (6)3.1.2 调制电路的程序 (7)3.1.3 调制电路仿真结果 (8)3.2解调电路的设计 (9)3.2.1 设计思路 (9)3.2.2 解调电路的程序 (10)3.2.3 解调电路的仿真结果 (10)3.3仿真分析 (11)3.3.1 BPSK调制解调的实现及其仿真波形 (11)3.3.2 BPSK和QPSK的区别 (16)3.3.3 QPSK仿真波形的分析 (18)4.总结 (20)参考文献 (21)附录 (22)前言现代通信系统要求通信距离远、通信容量大、传输质量好。
OQPSK调制信号的FPGA实现

相加 S(t) 电路
言编程,需要具体实现三个部分:串并转换电路的实 现、双极性码变换电路的实现以及载波产生电路的 实现。
首先,串并转换电路可以看作将一个序列第一 个码元延迟半个码元周期赋值给 a,第二个码元延迟 半个码元周期赋值给 b,以此类推。其实现 verilog 关 键语句为程序(1)。
always@(posedge clk) begin
首先,A(t)为基带信号,经过串并转换分为两路 数字信号。a 路信号延迟 Tb /2 是为了使两个正交分
量的两个比特在时间上错开半个码元,这样安排可 以是相邻码元相位差的最大变化值为 90°,从而减 小振幅的起伏,因此称为偏移相移键控。a 路信号经 过延迟后得到 a* 与 cosω0 t 相乘,b 路信号与 - sinω0 t
最后,载波电路的实现采用 DDS 原理,通过查
[4]
找表的方法实现正交的两路正弦和余弦信号 。正弦 和余弦信号的产生可以利用 always 语句进行地址累 加,通过 case 语句选择相应地址对应的存储波形数 据。本试验中对一个周期的正弦波形进行 64 个采样 点,8bit 波形数据。
然后按照原理图中的流程,将 a 路信号与余弦 信号相乘,b 路信号同正弦信号相乘,最后将乘得的 两路信号相加,得到 OQPSK 调制信号。
余弦波形,使单路信号和产生的正弦余弦电路相乘, 速数字通信中,利用 FPGA 实现数字信号调制解调
在 Modelsim 中可以得到如图 3 所示仿真波形。
的方法在军事和民用方面都有很高的应用价值,值
可以看出,此时得到的 a 路信号和余弦信号相 得我们再深入细致的研究。
参考文献
[1] 樊昌信,曹丽娜. 通信原理. 北京:国防工业出版社,2007.1 [2] 杨大柱. 基于 FPGA 的 QPSK 调制解调电路设计与实现. 微计算机信息,2007 [3] 高雪平,官伯然,汪海勇. QPSK 调制解调的系统仿真实验. 杭州电子科技大学学报,2006,26(5) [4] 周维龙,姚晓玲. 基于 FPGA 的 QPSK 调制器的设计与实现. 湖南冶金职业技术学院学报,2008,8(4) [5] 刘秀兰,王淑敏. 基于软件无线电的 QPSK 调制的设计. 计算机仿真. 2008,25(11) [6] 金小萍,洪波,钱勤,胡博. 大型全数字 QPSK 调制技术的实验方案设计. 电子工程师,2008,34(4)
QPSK调制器的FPGA实现

可以通过M分频电路实现。
9等芑%淄lL臼生LI_曰二j[臼』一E囟Ljj二』釜臼匕臼i∑L=I量臼』缸』臼业丘臼√,,“
乱:i也.』L三=.』;二.]』.E三台∑i!匹E√r 图3串并变换电路时序图 3.2四相载波产生器 四相载波产毕器甚干DDS构成.如图4所示。
XlE Hai-xia ZHOU Kai.¨
摘要:提出了一种基于FPGA实现QPSK调制器的方法。以FPGA实现DDS,通过对DDS信号输出相位的控制实现调相。仿真 结果表明方案是可行的.
关键词:QPSK调制器:FPGA;DDS 中图分类号:TN91 文献标识码:A
Abstract:A method of realizing QPSK modulator based on FPGA is presented.Its phase modulation is realized by controlling the
本文针对DVB-S标准的特点,先对标准进行了比较详细的研究和体会,然后采用软件和硬件的双重设计与仿真,对照两种仿真结果的方法进行系统的 设计。这样就使得整个设计更加具有合理性和可靠性。并在设计中对设计结构进行优化,既做到了资源的合理利用,又保证了设计精度的要求。本文的 主要内容为:
第一章介绍了数字电视的一些背景知识以及基于FPGA设计的相关知识。 第二章介绍了DVB-SQPSK调制器系统的原理。 第三章介绍了基于FPGA的DVB-SQPSK调制器的设计结构。比较详细地介绍了设计结构中各个模块的设计思路、接口定义,并对每个设计模块做了认真 的仿真、分析和验证。 第四章介绍了整个系统的仿真和验证。 第五章对本文做了一个总结。 本文的主要贡献在于针对DVB-SQPSK调制器的原理特点,运用多种基于FPGA的设计工具,合理地利用FPGA的资源,采用自己的设计思路对各个模块进 行设计与仿真,以及系统的搭建和仿真。使得该系统的设计达到了从信道编码到中频QPSK调制输出的全数字化设计的目的。通过整个系统的设计、仿真 和调试,为以后在专用集成电路芯片(ASIC)上的实现创造了条件。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
杨大柱:硕士
图 1 QPSK 信号调制原理图
《P LC 技术应用 200 例》
图 3 QPSK 调制电路方框图
2.2 调制电路 VHDL 程序及仿真结果
entity modulation is
port(clk :in std_logic;
- - 系统时钟
start :in std_logic;
- - 开始调制信号
新
调 制(M 进 制).多 进 制 数字 调 制 与二 进 制 相 比 , 其 频 谱 利 用 率 更
高.其 中 QPSK(即 4PSK)是 MPSK(多 进 制 相 移 键 控)中 应 用 最 广
泛的一种调制方式。本文研究了基于 FPGA 的 QPSK 调 制 解调
电路的实现方法, 并给出了 MAX+PLUSII 环境下的仿真结果。
(蚌埠中国人民解放军汽车管理学院)杨 大 柱
YANG DAZHU
摘要: 数 字 调 制 解 调 技 术 在 数 字 通 信 中 占 有 非 常 重 要 的 地 位 , 数 字 通 信 技 术 与 FPGA 的 结 合 是 现 代 通 信 系 统 发 展 的 一 个 必 然 趋 势 。文 中 介 绍 了 QPSK 调 制 解 调 的 原 理 , 并 基 于 FPGA 实 现 了 QPSK 调 制 解 调 电 路 。MAX+PLUSII 环 境 下 的 仿 真 结 果 表 明 了该设计的正确性。 关 键 词 : Q P SK ; F P G A; 调 制 ; 解 调 中图分类号:TN919 文献标识码:A
图 2 QPSK 信号解调原理图
2 QPSK 调 制 电 路 的 FPGA 实 现 及 仿真
2.1 基于 FPGA 的 QPSK 调制电路方框图 基带信号通过串/并转换器得到 2 位并行信号, , 四选一开 关根据该数据, 选择载波对应的相位进行输出, 即得到调制信 号, 调制框图如图 3 所示。
∑
串转换即可得到解调后的基带信号, 调制框图如图 5 所示。
3.2 解调电路 VHDL 程序及仿真结果
entity demodulation is
port(clk :in std_logic;
- - 系统时钟
start :in std_logic;
- - 同步信号
x :in std_logic;
- - 调制信号
signal f:std_logic_vector(3 downto 0); - - 载波 f
begin
process(clk)
- - 通过对 clk 分频, 得到 4 种相位;并 完成
基带信号的串并转换
begin
if clk' event and clk=' 1' then
if start=' 0' then q<=0;
end behav;
MAX+PLUSII 环境下的仿真结果如图 4 所示。
图 4 调制程序仿真结果
3 QPSK 解 调 电 路 的 FPGA 实 现 及 仿真
3.1 QPSK 解调电路方框图
图 5 QPSK 解调电路方框图 当调制为低电平时, 译码器 1 根据记数器输出值, 送入加 法器相应的数据。加法器把运算结果送到寄存器, 译码器 2 根 据寄存器数据通过译码, 输出两位并行信号,该信号再通过并/
signal yyy:std_logic_vector(1 downto 0); - - 2 位并 行 基 代 信
号寄存器
signal yy:std_logic_vector(2 downto 0); - - 寄存 xx 数据
begin
process(clk)
begin
if clk' event and clk=' 1' then
Abstr act:Technology of digital modulation and demodulation plays a important role in digital communication system, the combination
of digital communication technology and FPGA is a certainly trend .The paper introduces the principle of QPSK modulation and de-
技
Key wor ds:QPSK,FPGA,modulation,demodulation
术
数字调制信号又称为键控信号, 调制过程可用键控的方法 由 基 带 信 号 对 载 频 信 号 的 振 幅 、频 率 及 相 位 进 行 调 制 , 最 基 本
创
的 方 法 有 3 种:正 交 幅 度 调 制(QAM)、频 移 键 控(FSK)、相 移 键 控 (PSK).根 据 所 处理 的 基 带信 号 的 进制 不 同 分为 二 进 制和 多 进 制
end if;
elsif q=2 then q<=3;
if x=' 0' then xx<=xx+"001"; - - 调制信号 x 为低电平
时, 送入加法器的数据“001”
end if;
elsif q=4 then q<=5; y<=yyy(1);
if x=' 0' then xx<=xx+"010"; - - 调 制 信 号 x 为 低 电 平
elsif q=6 then q<=7;f(2)<=' 1' ; f(0)<=' 0' ;
else q<=q+1;
技
end if;
end if;
术
end process;
创
y<=f(0) when yy="11" else f(1) when yy="10" else
新
f(2) when yy="01" else f(3); - - 根据 yy 寄存器数据, 输出对应的载波
if start=' 0' then q<=0;
elsif q=0 then q<=1;yy<=xx; y<=yyy(0);
-- 把加法计
数器的数据送入 yy 寄存器
if x=' 0' then xx<="001";
- - 调制信号 x 为低电平
时, 送入加法器的数据“001”
else xx<="000";
(收 稿 日 期:2006.12.17)(修 稿 日 期:2007.1.15)
图 6 解调程序仿真结果
4 结束语
多进制数字调制技术与 FPGA 的结合使得通信系统的性能 得到了迅速的提高 解调 电 路, 该设计对其它类型的调制解调电路也有一定的参考作用。
邮局订阅号: 82-946 360 元 / 年 - 219 -
P LD CP LD FP GA 应 用
中 文 核 心 期 刊 《 微 计 算 机 信 息 》( 嵌 入 式 与 S OC )2007 年 第 23 卷 第 3-2 期
x :in std_logic;
- - 基带信号
y :out std_logic);
elsif q=0 then q<=1;f(3)<=' 1' ; f(1)<=' 0' ; xx(1)<=x;yy<=xx;
elsif q=2 then q<=3;f(2)<=' 0' ; f(0)<=' 1' ;
elsif q=4 then q<=5;f(3)<=' 0' ; f(1)<=' 1' ; xx(0)<=x;
时, 送入加法器的数据“010”
end if;
elsif q=6 then q<=7;
if x=' 0' then xx<=xx+"011"; - - 调制信号 x 为低电平
时, 送入加法器的数据“011”
end if;
else q<=q+1;
end if;
end if;
end process;
process(yy) - - 此进程根据 yy 寄存器里的数据进行译码
您的论文得到两院院士关注 文章编号:1008- 0570(2007)03- 2- 0219- 03
P LD CP LD FP GA 应 用
基于 FPGA 的 QPS K 调制解调电路设计与实现
De s ig n a n d Re a liza tio n o f QPS K Mo d u la tio n a n d De m o d u la tio n Circu it Ba s e d o n FPGA
《现场总线技术应用 200 例》
您的论文得到两院院士关注
else yyy<="00"; end if; end if; end process; end behav; MAX+PLUSII 环境下的仿真结果如图 6 所示。
P LD CP LD FP GA 应 用
mail: lyjsmt@163.com;万频(1963- ),男,广东 工 业 大学 副 教 授,研 究 方向: 计算机测控与网络技术、信息集成。 Biogr aphy:Liu Yongjun(1981- ),male,master.Research orientation: measurement and control of computer and network of technology. (510090 广东工业大学自动化学院)刘永军 万 频 谢 云 通 讯 地 址:(510090 广 州 市 东 风 东 路 729 号 自 动 化 学 院 1#404) 刘永军
modulation, the circuit are also be realized based on FPGA. The simulation result under MAX+PLUSII environment provides the cor-
rection of the design.
1 QPSK 简介
QPSK 信号有 00、01、10、11 四种状态。所以, 对输入的二进 制序列, 首先必须分组, 每两位码元一组。然后根据组合情况, 用载波的四种相位表 征 它 们。QPSK 信 号 实 际上 是 两 路正 交 双 边带信号, 可由图 1 所示方法产生。