汽车尾灯控制器的设计(EDA大作业)

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA技术课程大作业

设计题目:汽车尾灯控制器的设计

学生姓名:

学号:

专业班级:

2012年6月2日

汽车尾灯控制器的设计

1. 设计背景和设计方案

1.1 设计背景

随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

伴随着集成电路和计算机技术的飞速发展,EDA技术应运而生,它是一种高级、快速、有效的电子设计自动化技术。EDA将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的集成度和可靠性。运用EDA技术可以方便、快捷设计电路系统。本次设计就是运用EDA技术,根据状态机原理实现了汽车尾灯常用控制。

1.2 设计方案

1.2.1 系统设计要求

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:

(1). 汽车正常使用是指示灯不亮

(2). 汽车右转时,右侧的一盏灯亮

(3). 汽车左转时,左侧的一盏灯亮

(4). 汽车刹车时,左右两侧的指示灯同时亮

(5). 汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用

1.2.2 系统组成及原理图

汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT

和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指RD1、RD2、RD3实现以上功能。系统的整体组装设计原理如图1所示。

图1 系统的整体组装设计原理

2.方案实施

汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

2.1时钟分频模块

整个时钟分频模块的工作框图如图2所示。

图2时钟分频模块工作框图

时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF SZ IS

SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK = '1'THEN

COUNT <= COUNT + 1;

END IF;

END PROCESS;

CP<= COUNT(3);

END ART;

2.2 汽车尾灯主控模块

汽车尾灯主控模块工作框图如图3所示。

图3 主控模块工作框图

汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF CTRL IS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BAKE;

PROCESS(LEFT,RIGHT)

V ARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

TEMP:=LEFT & RIGHT;

CASE TEMP IS

WHEN "00" =>LP<='0';RP<='0';LR<='0';

WHEN "01" =>LP<='0';RP<='1';LR<='0';

WHEN "10" =>LP<='1';RP<='0';LR<='0';

WHEN OTHERS=>LP<='0';RP<='0';LR<='1';

END CASE;

END PROCESS;

END ART;

2.3左边灯控制模块

左边灯控制模块的工作框图如图4所示。

图4左边灯控制模块的工作框图

左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:ARCHITECTURE ART OF LC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF(LR ='0')THEN

IF(LP = '0')THEN

LEDL<='0';

ELSE

LEDL<='1';

END IF;

ELSE

LEDL <='0';

END IF;

END IF;

END PROCESS;

END ART;

2.4右边灯控制模块

右边灯控制模块的工作框图如图5所示。

图5 右边灯控制模块的工作框图

右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTURE ART OF RC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN

IF(LR = '0')THEN

IF(RP = '0')THEN

LEDR <='0';

ELSE

LEDR <= '1';

END IF;

ELSE

LEDR <='0';

END IF;

END IF;

END PROCESS;

END ART;

3. 结果和结论

3.1分频模块仿真及分析

分频模块由VHDL程序实现后,其仿真图如图6所示。

图6 分频模块仿真图

对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,通过时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。

3.2汽车尾灯主控模块仿真及分析

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图7所示。

相关文档
最新文档