译码器及其应用实验

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

专业通信工程学号班级14级通

姓名

信三班

实验课程数字电路实验日期2015.11.12

实验名称译码器及其应用成绩

实验目的:

1、掌握译码器的测试方法。

2、了解中规模集成译码器的功能,引脚分布,掌握其逻辑功能。

3、掌握用译码器构成组合电路的方法。

4、学习译码器的扩展。

5、学习数码显示译码器

实验条件:

1、数字逻辑电路实验板 1块

2、+5V直流电压

3、74HC138 3-8线译码器 2片

4、数码显示译码器

实验原理:

1、首先了解74HC138 3-8线译码器

74HC138是集成3线-8线译码器,在数字系统中应用比较广泛。图3-1是其引脚排列。

其中 A2 、A1 、A0为地址输入端,

0Y~ 7Y为译码输出端,

S1、2S、3S为使能端。

因为当S1=1,S2+S3=0时,电路完成译码功能,输出低电平有效。所以S1连接+5v 直流电压,S2和S3接地时,器件使能。地址码所指定输出端有信号输出(低电平0有效),其他的输出端均无信号输出(均为1)。

2、按照电路图连接3-8译码器。将译码器使能端S1、2S、3S及地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个输出端Y7 Y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按下表逐项测试74HC138的逻辑功能。

174HC138逻辑功能测试结果记录如下:

输入输出

S1 S2+S3 A2 A1 A0

Y0

(非)

Y1

(非)

Y2

(非)

Y3

(非)

Y4

(非)

Y5

(非)

Y6

(非)

Y7

(非)

1000001111111 1000110111111 1001011011111 1001111101111 1010011110111 1010111111011 1011011111101 1011111111110

0 X X X X 1 1 1 1 1 1 1 1

X 1 X X X 1 1 1 1 1 1 1 1

3-8线连线与功能实现如图所示:

3、用两个3线-8线译码器构成4线-16线译码器。

利用使能端能方便地将两个 3/8译码器组合成一个4/16译码器,如下图所示。

问题分析:

1、输出端信号灯全亮的原因:没有实现S1=1,S2+S3=0,使能端无法控制输出端信号输出。

2、多了一片S138时,根据电路图,两个译码器的地址端串联连接信号输入端,使能端根据电路图连接。

相关文档
最新文档