EDA课程设计报告(DOC)

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA课程设计报告

—VGA显示器彩色信号发生器电路

班级:11电子班

姓名:

学号: **********

指导老师:***

摘要:VGA(Video Graphic Array)接口是与显示器进行通信的唯一接口。通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最后可以实现对VGA显示器的控制。本设计与传统的图像显示方法不同,为节省储存空间在设计中采用R、G、B三种基色利用FPGA芯片和EDA设计方法,可以显示8种颜色,设计出针对性强的VGA显示控制器,而且不需要依靠计算机,既能够大大降低成本,又可以满足生产实践中不断变化的需要,同时产品的升级换代也方便迅速。本设计采用Altera公司的EDA软件0uartus II,并以Cylone 系列FPGA器件为硬件平台进行系统设计。

目录

1、系统设计 (4)

2、单元电路设计 (6)

3、软件设计 (8)

4、系统测试 (12)

5、结论 (14)

6、参考文献 (14)

7、附录 (15)

8、设计说明书 (16)

一、系统设计

1、设计要求:VGA显示器彩色信号发生器电路,能在VGA显示器上显示8种颜色的图形(条型、方块型)。

2、系统设计方案:

方案一:采用传统的图像显示方法,将图像数据传回电脑在传输过程中通过CPU对图像数据信号进行控制,通过显示器显示出来。

方案二:采用FPGA和EDA的设计方法,通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最终可以实现对VGA 的控制。

方案一为传统的图像显示方法,在传输过程中需要CPU不断对图像数据信号进行控制,这样就造成了CPU的浪费,同时系统还需要依赖电脑,降低了系统的灵活性。

方案二是利用可编程器件FPGA和EDA的设计方法,可以根据设计的需要设计出针对性比较强的VGA显示器,而且不需要依靠计算机,大大降低了成本提高了系统的灵活性。

综合上诉分析本设计中采用方案二。

3、系统的工作原理:计算机显示器的显示有许多接口标准,常见的有VGA、SVGA等。VGA接口,也叫D-Sub接口,

是15针的梯形插头,分成3排,每排5个,

传输模拟信号。VGA接口采用非对称分布的

15针连接方式,其工作原理是:将显存内

以数字格式存储的图像(帧)信号在RAMDAC里经过模拟调制成模拟高

频信号,然后再输出到显示设备成像。VGA支持在640 X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320×240分辨率下可以同时显示256种颜色。

常见的彩色显示器一般由CRT(阴极射线管)够成,彩色是有R、G、B(红:Red,绿:Green,蓝:Blue)三基色组成,用逐行扫描的方式解决图像显示。阴极射线枪发出的电子束打在涂有荧光粉的屏幕上,产生R、G、B三基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间对电子束进行行消隐,每行结束时,用行同步信号进行行同步,扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。在本设计中采用普通的VGA显示器,一共引出5条信号线:R、G、B:三基色信号;HS:行同步信号;VS:场同步信号。在设计过程中严格按照VGA的工业标准要求进行设计,其中时钟频率为25.175MHZ,行频为31469HZ,场频为59.94HZ。

4、实现电路:

VGA显示器

二、单元电路设计

1、时序信号的产生

图片要想在显示器上显示出来,它主要需要5个信号,行同步信号

HS(Hor i Zontal—Sync)、场同步信号VS(Vertical—Sync)和RGB三基色信号。VGA时序控制模块是整个显示控制器的关键部分,最终输出的行、场同步信号必须严格按照VGA时序标准产生相应的脉冲信号。图1和图2所示为行扫描和场扫描的时序图。

图1行扫描时序示意图

图2场扫描时序示意图

对于VGA显示器的上述五个信号的时序驱动要严格遵循“VGA工业标准”,即640×480×60HZ模式,否则无法显示正确地图象。

VGA工业标准要求的频率:

时钟频率:25.175MHz(像素输出的频率)行频:31469Hz

场频:59.94Hz(每秒图像刷新频率)

行、场扫描时序要求如表1和表2所示。

表1 行扫描时序要求:(单位:像素即输出一个像素PixeI的时间间隔) 表2 场扫描时序要求:(单位:行即每输出一行line的时间间隔)

VGA的工业标准显示模式要求行同步和场同步都为负极性,即同步头脉冲要求是负脉冲。对于一些VGA显示器,HS和VS的极性可正可负,显示器内可以自动转换为正极性逻辑。在图1和图2中,R、G、B为正极性信号即高电平有效。当VS=0、HS=1时,CRT现实的内容为亮的过程,即正向扫描过程约为26us。当一行扫描完毕,行同步HS=1,约需6us。期间,CRT扫描产生消隐,电子束回到CRT左边下一行的起始位置(X=0,Y=1);当扫描完480行后,CRT的场同步VS=1,产生场同步使扫描线回到CRT的第一行第一列(X=0,Y=0)处(约为两个行周期)。

2、彩色信号的生成

本设计中采用3位数字表达式R、G、B(纯数字方式)三种基色,可以显示8中颜色,表三是此八种颜色的对应的编码电平。利用数字逻辑关系将R、G、B进行逻辑运算便可得到显示的图形。

表三颜色编码

3、显示控制模块

经编译后的彩条信号经过显示模块处理后送到显示器进行显示。利用行、场同步信号的计数器,在图像有效区间内按照图片的大小划定行和列的起始坐标和终止坐标。本设计使用和行、场计数器相同频率的时钟信号作为图像地址计数器的时钟,当扫描信号到达显示图片的区间时,图像地址计数器开始计数,同时从相应位置读出数据送往显示器进行显示,显示模块的输出直接连到VGA的接口。在设计中还增加了一个模式选择按键MD,用来控制图像的显示模式,本设计中共有6中显示变化的图像如表4所示。

表4 彩色信号发生器的显示模式

三、软件设计

本文利用Altera公司QuartusⅡ6.0开发平台,遵循自顶向下的设计方法,针对各功能模块,采用VHDL语言对FPGA器件编程,产生HS

和VS扫描时序信号及各种图象信号。VGA时序信号是图象显示的关键,行场扫描时序的产生,是利用逻辑编程的方法实现的,即用VHDL编写

相关文档
最新文档