篮球比赛2430秒计时器(JZD020-011)使用说明书

合集下载

篮球比赛24秒计时器设计.

篮球比赛24秒计时器设计.

课程设计题目篮球比赛24秒计时器设计学院自动化学院专业自动化班级姓名指导教师年月日目录引言1 设计意义及要求 (3)1.1 设计意义 (3)1.2 设计要求 (3)2 方案设计 (3)2.1 设计思路 (3)2.1.1电路要求 (3)2.1.2方案一电路设计原理 (3)2.1.3 工作原理 (4)2.1.4方案二电路设计原理 (5)2.3方案比较 (6)3部分电路设计 (7)3.1 时钟脉冲电路 (7)3.2 计时电路 (8)3.3 停止控制电路 (9)3.4 警报提示装置 (10)4 调试与检测 (11)4.1 调试中故障及解决办法 (11)4.2 调试与运行结果 (11)5 仿真操作步骤及使用说明 (13)结束语 (14)参考文献 (14)附录电路图 (15)本科生课程设计成绩评定表引言很多人都看过篮球比赛,篮球比赛时,我们会发现每次进攻都会进行24秒倒计时,当计时到零时就会出现声光报警。

篮球的24秒计时器只是计时器的一种。

在生活中,我们经常会看见各种计时器,例如,在十字路口的红绿灯上我们会看到一个34秒计时器;我们经常看到的电子表也是计数器的一种;在体育课上老师用的秒表也是一种计时器。

计时器给我们的生活带来了很大的方便,可以说我们的生活离不开计数器。

本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。

此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。

此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。

本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的实用价值。

通过本次课程设计,可以了解计时器的组成和原理以及许多应用,为设计更复杂的计时器打好基础。

同时通过本次课程设计也能提高学生的设计电路,排查故障,思考问题等多种能力。

1 设计意义和要求1.1设计意义生活中很多地方都用到计时器,例如交通信号灯上有34秒倒计时装置,我们经常用的数字手表也是计时器的一种。

篮球竞赛30s计时器 课程

篮球竞赛30s计时器 课程

综述在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。

本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。

一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。

本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器显示“00”,同时发出光电报警信号;计时器为30秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

1确定设计方案1.1设计要求a.具有显示30秒计时功能;b.外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;c.器为30秒递减计时器,其计时间隔为1秒;d.器递减计时到零时,数码显示器不能灭灯,同时发出广电报警信号。

1.2根据设计要求,设计原理框图图1.1 30秒计时器的总体参考方案框图该图包括以上几个部分,其中计数器和控制电路是系统的主要部分。

秒脉冲发生器产生的信号是为了确定电路的时钟脉冲和定时标准,计数器是完成30秒计时的功能,译码显示是显示电路的显示和灭灯功能,报警电路是完成30秒计时是提醒工作人员,而控制电路具有直接控制计数器的启动计数、暂停或者连续计数。

当启动开关闭合时,控制电路应封锁时钟信号CP,此时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开时,计数器开始计数;当开关放在暂停位置时,计数器停止计数,处于保持状态;当在将开关拨在连续时,计数器累加计数。

-2单元电路的设计2.1 秒脉冲发生器的设置根据设计的要求我采用的是由555构成的多谐振荡器,如下图所示,接通电源后,电容C1被充电,Vc 上升,当Vc 上升到3/2Vcc 时,触发器被复位,同时放电,三极管导通,此时V o 为低电平,电容C1通过R4和T 放电,使Vc 下降,当下降至1/3Vcc 时,触发器又被置位,V o 翻转为高电平。

篮球比赛24秒计时器设计报告

篮球比赛24秒计时器设计报告

篮球比赛24秒计时器设计报告篮球比赛中的24秒计时器是指用于计时进攻方在进攻时所剩时间的设备。

它是篮球比赛中重要的计时工具之一,对比赛的进行起着关键的作用。

本设计报告将对篮球比赛24秒计时器的设计进行详细介绍。

1.设计目标:本设计的目标是设计一款功能完善、易于操作、准确可靠的篮球比赛24秒计时器。

它应具备以下特点:(1)界面简洁直观,便于比赛工作人员和观众使用;(2)计时准确可靠,能够精确显示剩余时间;(3)操作简便,能够方便地启动、暂停和重置计时器;(4)耐用性强,能够长时间稳定工作。

2.系统组成:本设计的篮球比赛24秒计时器由以下几个主要部分组成:(1)显示屏幕:采用LED或LCD显示屏,能够清晰、直观地显示剩余时间;(2)控制面板:包括启动按钮、暂停按钮、重置按钮等,用于控制计时器的启动、暂停和重置操作;(3)倒计时控制模块:用于计时功能的实现,能够按照设定的时间进行倒计时,并在倒计时结束后发出警示信号;(4)供电模块:提供计时器所需的电源。

3.设计原理:(1)启动和暂停功能:通过控制面板上的启动按钮和暂停按钮实现。

(2)重置功能:通过控制面板上的重置按钮实现,将计时器恢复到初始状态。

(3)倒计时功能:倒计时控制模块根据设定的时间进行倒计时,每秒更新显示屏上的剩余时间,并在倒计时结束时发出警示信号。

(4)警示信号:在倒计时结束后,发出蜂鸣器声音或闪烁的警示灯,提醒比赛工作人员和观众时间已经用完。

4.设计细节:(1)显示屏幕的设计:选择合适的LED或LCD显示屏,确保显示效果清晰、亮度适中。

(2)控制面板的设计:控制面板上的按钮应具备良好的触感,操作简便、可靠。

(3)倒计时控制模块的设计:采用微控制器或计时芯片实现倒计时功能,并与显示屏和控制面板进行适配。

(4)供电模块的设计:选择合适的电源适配器或电池供电,确保供电稳定可靠。

5.总结与展望:通过对篮球比赛24秒计时器的设计,实现了上述目标,并对各个部分的设计细节进行了详细阐述。

篮球计时计分器

篮球计时计分器

3.12 篮球计时计分器设计(8学时)一、设计原理该篮球计时计分器,由九个功能模块组成: 时钟产生模块、按键输入模块、系统的计时模块、24秒计时模块、数码管输出模块、led输出模块、计分模块、lcd输出模块、比分交换模块实现的主要功能:S1、S2分别用于两队比分的减1,S3、S4分别用于两队比分的加1,S5用于控制比赛的开始和暂停,S6用于24秒的重新置位,和进入下一节的显示切换,S7用于系统的复位,S8用于对比赛总时间减一分(调试时用,实际中不需要这个按键)。

液晶屏显示比分,数码管显示一节时间和24秒倒计时;当按下S7时,系统复位,液晶屏显示000:000 1st,数码管显示12 00 24;当按下S5时系统开始计时,若再按下S5则处于暂停状态;当24秒倒计时剩余时间小于一秒时,则显示为秒表计时方式;当24秒时间到了,则8个led灯全亮,比赛暂停,此时先按下S5再按S6则重新从24秒开始倒计时;当一节比赛结束时,8个led灯全亮,比赛暂停,此时先按下S5再按S6则进入下一节;当比赛进行到第三节时,则比分交换显示。

二、设计1、顶层图:2、各子模块及对应程序:(1)数码管输出模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity shumaguan isport( clk : in std_logic;ledag : out std_logic_vector(7 downto 0);del : out std_logic_vector(2 downto 0);m10 : in std_logic_vector(3 downto 0);m : in std_logic_vector(3 downto 0);s10 : in std_logic_vector(3 downto 0);s : in std_logic_vector(3 downto 0);s24_10 : in std_logic_vector(3 downto 0);s24 : in std_logic_vector(3 downto 0)); end shumaguan;architecture rtl of shumaguan issignal cq: std_logic_vector(3 downto 0);signal dount : std_logic_vector(2 downto 0);beginprocess(clk) --数码管动态扫描beginif(clk'event and clk='1' )thendount<=dount+1;end if;del<=dount;end process;process(dount,s24,s24_10,s,s10,m,m10)beginif(dount=0)thencq<=m10;elsif(dount=1)thencq<=m;elsif(dount=2)thencq<=s10;elsif(dount=3)thencq<=s;elsif(dount=4)thencq<="1111";elsif(dount=5)thencq<="1111";elsif(dount=6)thencq<=s24_10;elsif(dount=7)thencq<=s24;end if;end process;process(cq)--数码管显示begincase cq iswhen "0000" => ledag <="11000000";when "0001" => ledag <="11111001";when "0010" => ledag <="10100100";when "0011" => ledag <="10110000";when "0100" => ledag <="10011001";when "0101" => ledag <="10010010";when "0110" => ledag <="10000010";when "0111" => ledag <="11111000";when "1000" => ledag <="10000000";when "1001" => ledag <="10010000";when "1010" => ledag <="11111111";when "1011" => ledag <="11111111";when "1100" => ledag <="11111111";when "1101" => ledag <="11111111";when "1110" => ledag <="11111111";when "1111" => ledag <="11111111";when others => null;end case;end process;end rtl;(2)时钟产生模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity shizhong isport(clk:in std_logic;beep:out bit;clk_25hz,clk_100hz,clk_1khz:out std_logic); end shizhong;architecture rtl of shizhong issignal clk_100hz_s:std_logic;beginprocess(clk_100hz_s)variable q2:integer range 0 to 9;beginif(clk_100hz_s='1'and clk_100hz_s'event)then if(q2=3)thenclk_25hz<='1';q2:=q2+1;elsif(q2=4)thenclk_25hz<='0';q2:=0;else clk_25hz<='0';q2:=q2+1;end if;end if;end process;process(clk)variable q3:integer range 0 to 499999; beginif(clk='1'and clk'event)thenif(q3=499999)thenq3:=0;elseif(q3<250000)thenclk_100hz<='0';clk_100hz_s<='0';else clk_100hz<='1';clk_100hz_s<='1';end if;q3:=q3+1;end if;end if;end process;process(clk)variable q4:integer range 0 to 49999;beginif(clk='1'and clk'event)thenif(q4=49999)thenq4:=0;elseif(q4<25000)thenclk_1khz<='0';else clk_1khz<='1';end if;q4:=q4+1;end if;end if;end process;beep<='1';end rtl;(3)led输出模块library ieee;use ieee.std_logic_1164.all;entity led isport(ledin:in std_logic;ledout:out std_logic_vector(7 downto 0));end led;architecture rtl of led isbeginprocess(ledin)beginif(ledin='0')thenledout<="00000000";else ledout<="11111111";end if;end process;end rtl;(4)lcd输出模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity lcd isPort ( Clk : in std_logic; --状态机时钟信号,同时也是液晶时钟信号,其周期应该满足液晶数据的建立时间Aout_100,Aout_10,Aout,Bout_100,Bout_10,Bout: in std_logic_vector(3 downto 0);period:in std_logic_vector(2 downto 0);LCD_RS : out std_logic; --寄存器选择信号LCD_RW : out std_logic; --液晶读写信号LCD_EN : out std_logic; --液晶时钟信号LCD_Data : out std_logic_vector(7 downto 0)); --液晶数据信号end lcd;architecture Behav of lcd istype STATE_TYPE is (START,write_C,write_D,WRITE_BYTE_C,WRITE_BYTE_D,wait_3m1,wait_3m2,wa it_5m1,wait_5m2,wait_100m); --12个状态,START:初始化各信号量,write_C(write_D):判断初始化指令(显示数据)是否输出完毕,WRITE_BYTE_C (WRITE_BYTE_D):输出一个指令(数据),wait_3m1,wait_3m2,wait_5m1,wait_5m2,wait_100m:延时type MY_ARRAY_C is array(0 to 4) of std_logic_vector(7 downto 0); --初始化的数据(控制指令)type MY_ARRAY_D is array(0 to 11) of std_logic_vector(7 downto 0);constant c_d: MY_ARRAY_C:=(x"38",x"0c",x"06",x"01",x"C3");signal d_d: MY_ARRAY_D;signal STATE: STATE_TYPE:=START;signal w_c_flag : integer range 0 to 2:=0; --写指令时用到的标志 signal w_d_flag : integer range 0 to 2:=0; --写数据时用到的标志signal write_c_cnt : integer range 0 to 5:=0; --指令的指针signal write_d_cnt : integer range 0 to 12:=0; --数据的指针signal cnt : integer range 0 to 100:=0; --延时用到的计数器beginLCD_RW <= '0' ; --写数据d_d(0)<="0000"&Aout_100+x"30";d_d(1)<="0000"&Aout_10+x"30";d_d(2)<="0000"&Aout+x"30";d_d(3)<="00111010";d_d(4)<="0000"&Bout_100+x"30";d_d(5)<="0000"&Bout_10+x"30";d_d(6)<="0000"&Bout+x"30";d_d(7)<="00100000";d_d(8)<="00100000";d_d(9)<="00000"&period+x"31";d_d(10)<="01110011";d_d(11)<="01110100";process(Clk,STATE) --液晶驱动控制器beginif rising_edge(Clk) thencase STATE iswhen START=>LCD_EN<='0';w_c_flag<=0;w_d_flag<=0;write_c_cnt<=0;write_d_cnt<=0;STATE<=WRITE_C; --下一个状态(即要执行的)是WRITE_C(相当于跳转)when WRITE_C=>case write_c_cnt iswhen 0 to 4=> --小于5,五个初始化指令未输出完,则要输出STATE<=WRITE_BYTE_C;when 5=>write_c_cnt<=0; --等于5,五个初始化指令已输出完,转入数据输出STATE<=WRITE_D; --转入数据输出end case;when WRITE_BYTE_C=>if(w_c_flag=0) then --w_c_flag=0,通道选择,数据输出LCD_RS<='0';LCD_Data<=c_d(write_c_cnt);w_c_flag<=1;STATE<=wait_3m1; --延时elsif(w_c_flag=1) then --w_c_flag=1,使能en='1' LCD_EN<='1';w_c_flag<=2;STATE<=wait_5m1; --延时elsif(w_c_flag=2) then --w_c_flag=2,使能en='0' LCD_EN<='0';w_c_flag<=0;write_c_cnt<=write_c_cnt+1; --当前数据已输出完,write_c_cnt加一指向下一个数据,并转入下一个数据输出WRITE_CSTATE<=WRITE_C;end if;when WRITE_D=>case write_d_cnt iswhen 0 to 11=>STATE<=WRITE_BYTE_D;when 12=>write_d_cnt<=0;STATE<=wait_100m; --所有数据输出完毕 end case;when WRITE_BYTE_D=>if(w_d_flag=0) thenLCD_RS<='1';LCD_Data<=d_d(write_d_cnt);w_d_flag<=1;STATE<=wait_3m2;elsif(w_d_flag=1) thenLCD_EN<='1';w_d_flag<=2;STATE<=wait_5m2;elsif(w_d_flag=2) thenLCD_EN<='0';w_d_flag<=0;write_d_cnt<=write_d_cnt+1;STATE<=WRITE_D;end if;when wait_3m1=>if (cnt>=3) thenSTATE<=WRITE_BYTE_C;cnt<=0;elsecnt<=cnt+1;STATE<=wait_3m1;end if;when wait_5m1=>if (cnt>=5) thenSTATE<=WRITE_BYTE_C;cnt<=0;elsecnt<=cnt+1;STATE<=wait_5m1;end if;when wait_3m2=>if (cnt>=3) thenSTATE<=WRITE_BYTE_D;cnt<=0;elsecnt<=cnt+1;STATE<=wait_3m2;end if;when wait_5m2=>if (cnt>=5) thenSTATE<=WRITE_BYTE_D;cnt<=0;elsecnt<=cnt+1;STATE<=wait_5m2;end if;when wait_100m=>if (cnt>=100) thenSTATE<=START; --该轮次的所有数据(指令,显示)都已输出,回到START,开始新一轮的输出cnt<=0;elsecnt<=cnt+1;STATE<=wait_100m;end if;end case;end if;end process;end Behav;(5)24秒计时模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY jishi24_cnt10 ISPORT(res,en,clk: IN STD_LOGIC;reset:IN STD_LOGIC;borrow:OUT STD_LOGIC;dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END jishi24_cnt10;ARCHITECTURE rtl OF jishi24_cnt10 ISBEGINPROCESS(res,en,clk)VARIABLE q:INTEGER RANGE 0 TO 9;BEGINIF(res='1')THENq:=0;borrow<='0';ELSIF(reset='1'AND en='0')THENq:=0;borrow<='0';ELSIF(en='1')THENIF(clk='1'AND clk'EVENT)THENIF(q=0)THENq:=9;borrow<='1';ELSEq:=q-1;borrow<='0';END IF;END IF;END IF;dataout<=CONV_STD_LOGIC_VECTOR(q,4);END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY cnt1_10 ISPORT(res,en,clk: IN STD_LOGIC;reset:IN STD_LOGIC;borrow:OUT STD_LOGIC;dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt1_10;ARCHITECTURE rtl OF cnt1_10 ISBEGINPROCESS(res,en,clk)VARIABLE q:INTEGER RANGE 0 TO 9;BEGINIF(res='1')THENq:=4;borrow<='0';ELSIF(reset='1'AND en='0')THENq:=4;borrow<='0';ELSIF(en='1')THENIF(clk='1'AND clk'EVENT)THENIF(q=0)THENq:=9;borrow<='1';ELSEq:=q-1;borrow<='0';END IF;END IF;END IF;dataout<=CONV_STD_LOGIC_VECTOR(q,4);END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY cnt_2 ISPORT(res,en,clk: IN STD_LOGIC;reset:IN STD_LOGIC;borrow:OUT STD_LOGIC;dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt_2;ARCHITECTURE rtl OF cnt_2 ISBEGINPROCESS(res,en,clk)VARIABLE q:INTEGER RANGE 0 TO 2;BEGINIF(res='1')THENq:=2;borrow<='0';ELSIF(reset='1'AND en='0')THENq:=2;borrow<='0';ELSIF(en='1')THENIF(clk='1'AND clk'EVENT)THENIF(q=0)THENq:=2;borrow<='1';ELSEq:=q-1;borrow<='0';END IF;END IF;END IF;dataout<=CONV_STD_LOGIC_VECTOR(q,4);END PROCESS;END rtl;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux1 isport(s1_10,s1,sec1_10,sec1,s10,s,sec10,sec:in std_logic_vector(3 downto 0);s10_out:out std_logic_vector(3 downto 0);s_out:out std_logic_vector(3 downto 0);ctrl_1,ctrl_2:in std_logic;pause:out std_logic;res:in std_logic);end mux1;architecture rtl of mux1 isbeginprocess(s1_10,s1,sec1_10,sec1,s10,s,sec10,sec,ctrl_1,ctrl_2)beginif(ctrl_1='1')thenif((s1_10<s10)or(s1_10=s10 and s1<=s))thens10_out<=s1_10;s_out<=s1;elses10_out<=s10;s_out<=s;end if;elsif(ctrl_2='1') thens10_out<=sec10;s_out<=sec;elseif(s1_10=0 and s1=0)thens10_out<=sec1_10;s_out<=sec1;elses10_out<=s1_10;s_out<=s1;end if;end if;end process;process(s1_10,s1,sec1_10,sec1,s10,s,sec10,sec,ctrl_1,ctrl_2)beginif(res='1')thenpause<='1';elsif((s1_10=0 and s1=0 and sec1_10=0 and sec1=0)or((ctrl_1='1'orctrl_2='1')and s10=0 and s=0 and sec10=0 and sec=0))then pause<='0';else pause<='1';end if;end process;end rtl;(6)计分模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jifen_cnt10 isport(res,decA,decB,incA,incB:in std_logic;Aout,Bout:buffer std_logic_vector(3 downto 0);b_decA,b_incA,b_incB,b_decB:out std_logic);end jifen_cnt10;architecture rtl of jifen_cnt10 issignal alter_A,alter_B:std_logic;beginalter_A<=decA or incA;alter_B<=decB or incB;process(res,decA,incA)beginif(res='1')thenAout<="0000";b_decA<='0';elsif(alter_A='1'and alter_A'event)thenif(decA='1')thenif(Aout="0000")thenAout<="1001";b_decA<='1';elseAout<=Aout-1;b_decA<='0';end if;elsif(incA='1')thenif(Aout="1001")thenAout<="0000";b_incA<='1';elseAout<=Aout+1;b_incA<='0';end if;end if;end if;end process;process(res,decB,incB)beginif(res='1')thenBout<="0000";b_decB<='0';elsif(alter_B='1'and alter_B'event)thenif(decB='1')thenif(Bout="0000")thenBout<="1001";b_decB<='1';elseBout<=Bout-1;b_decB<='0';end if;elsif(incB='1')thenif(Bout="1001")thenBout<="0000";b_incB<='1';elseBout<=Bout+1;b_incB<='0';end if;end if;end if;end process;end rtl;(7)系统计时模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dectect isport(datain_m10:IN STD_LOGIC_VECTOR(3 DOWNTO 0); datain_m:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_s10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_s:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_sec10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_sec:IN STD_LOGIC_VECTOR(3 DOWNTO 0);discon:out std_logic;resetin:in std_logic;res:in std_logic;resetout:out std_logic;extra:out std_logic);end dectect;architecture rtl of dectect isbeginprocess(datain_m10,datain_m,datain_s10,datain_s,datain_sec10,datain_s ec,resetin)beginif(res='1')thenresetout<='0';elsif(datain_m10="0000" and datain_m="0000" and datain_s10="0000" and datain_s="0000" and datain_sec10="0000" and datain_sec="0000")then resetout<=resetin;else resetout<='0';end if;end process;process(datain_m10,datain_m,datain_s10,datain_s,datain_sec10,datain_s ec,resetin)beginif(res='1')thenextra<='0';elsif(datain_m10="0000" and datain_m="0000" and datain_s10="0000" and datain_s="0000" and datain_sec10="0000" and datain_sec="0000")then if(resetin='1'and resetin'event)thenextra<='1';end if;end if;end process;process(datain_m10,datain_m,datain_s10,datain_s,datain_sec10,datain_s ec,resetin)beginif(res='1')thendiscon<='0';elsif(((datain_m10="0010" ANDdatain_m="0100")or(datain_m10="0011" AND datain_m="0110")or(datain_m10="0001" AND datain_m="0010")) and datain_s10="0000" and datain_s="0000" and datain_sec10="0000" and datain_sec="0000")thenif(resetin='1' and resetin'event)thendiscon<='1';end if;elsif(((datain_m10="0010" AND datain_m="0011")or(datain_m10="0011" AND datain_m="0101")or(datain_m10="0001" AND datain_m="0001")) and datain_s10="0000" and datain_s="0000" and datain_sec10="0000" and datain_sec="0000")thendiscon<='0';end if;end process;end rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY cnt4 ISPORT(res,en,clk: IN STD_LOGIC;reset:IN STD_LOGIC;borrow:OUT STD_LOGIC;dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END cnt4;ARCHITECTURE rtl OF cnt4 ISBEGINPROCESS(res,en,clk)VARIABLE q:INTEGER RANGE 0 TO 4;BEGINIF(res='1')THENq:=4;borrow<='0';ELSIF(reset='1')THENq:=0;borrow<='0';ELSIF(en='1')THENIF(clk='1'AND clk'EVENT)THENIF(q=0)THENq:=4;borrow<='1';ELSEq:=q-1;borrow<='0';END IF;END IF;END IF;dataout<=CONV_STD_LOGIC_VECTOR(q,4);END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY cnt6 ISPORT(res,en,clk: IN STD_LOGIC;borrow:OUT STD_LOGIC;dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt6;ARCHITECTURE rtl OF cnt6 ISBEGINPROCESS(res,en,clk)VARIABLE q:INTEGER RANGE 0 TO 5;BEGINIF(res='1')THENq:=0;borrow<='0';ELSIF(en='1')THENIF(clk='1'AND clk'EVENT)THENIF(q=0)THENq:=5;borrow<='1';ELSEq:=q-1;borrow<='0';END IF;END IF;END IF;dataout<=CONV_STD_LOGIC_VECTOR(q,4);END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY cnt10 ISPORT(res,en,clk: IN STD_LOGIC;borrow:OUT STD_LOGIC;dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt10;ARCHITECTURE rtl OF cnt10 ISBEGINPROCESS(res,en,clk)VARIABLE q:INTEGER RANGE 0 TO 9;BEGINIF(res='1')THENq:=0;borrow<='0';ELSIF(en='1')THENIF(clk='1'AND clk'EVENT)THENIF(q=0)THENq:=9;borrow<='1';ELSEq:=q-1;borrow<='0';END IF;END IF;END IF;dataout<=CONV_STD_LOGIC_VECTOR(q,4);END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY conv ISPORT( discon:IN STD_LOGIC;datain_m10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_m:IN STD_LOGIC_VECTOR(3 DOWNTO 0);dataout_m10:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);dataout_m:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);extra:IN STD_LOGIC);END conv;ARCHITECTURE rtl OF conv ISBEGINPROCESS(datain_m10,datain_m)BEGINIF(extra='1')THENdataout_m10<=datain_m10;dataout_m<=datain_m;ELSIF((datain_m10="0001" AND datain_m<2)OR(datain_m10="0000")OR(datain_m10="0001" AND datain_m=2 AND discon='1'))THENdataout_m10<=datain_m10;dataout_m<=datain_m;ELSIF((datain_m10="0010" AND datain_m<4 AND datain_m>=2)OR(datain_m10="0001" AND datain_m>=2)OR(datain_m10="0010" AND datain_m=4 AND discon='1'))THENdataout_m10<=datain_m10-1;dataout_m<=datain_m-2;ELSIF(datain_m10="0010" AND datain_m<2)THENdataout_m10<="0000";dataout_m<=10+datain_m-2;ELSIF((datain_m10="0011" AND datain_m<6 AND datain_m>=4)OR(datain_m10="0010" AND datain_m>=4)OR(datain_m10="0011" AND datain_m=6 AND discon='1'))THENdataout_m10<=datain_m10-2;dataout_m<=datain_m-4;ELSIF(datain_m10="0011" AND datain_m<4)THENdataout_m10<="0000";dataout_m<=10+datain_m-4;ELSIF((datain_m10="0100" AND datain_m<=8 AND datain_m>=6)OR(datain_m10="0011" AND datain_m>=6))THENdataout_m10<=datain_m10-3;dataout_m<=datain_m-6;ELSIF(datain_m10="0100" AND datain_m<6)THENdataout_m10<="0000";dataout_m<=10+datain_m-6;END IF;END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ctrl ISPORT(res:IN STD_LOGIC;datain_m10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_m:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_s10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_s:IN STD_LOGIC_VECTOR(3 DOWNTO 0);ctrl_1:OUT STD_LOGIC;ctrl_2:OUT STD_LOGIC);END ctrl;ARCHITECTURE rtl OF ctrl ISBEGINPROCESS(res,datain_m10,datain_m,datain_s10,datain_s)BEGINIF(res='1')THENctrl_1<='0';ctrl_2<='0';ELSIF((datain_m10="0000" AND datain_m="0000" )AND ((datain_s10="0010" AND datain_s<=4)OR(datain_s10="0001")OR(datain_s10="0000" AND datain_s>=1)))THENctrl_1<='1';ctrl_2<='0';ELSIF((datain_m10="0000" AND datain_m="0000" )AND (datain_s10="0000" AND datain_s="0000"))THENctrl_1<='0';ctrl_2<='1';ELSEctrl_1<='0';ctrl_2<='0';END IF;END PROCESS;END rtl;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY period ISPORT(res:IN STD_LOGIC;reset:IN STD_LOGIC;discon:IN STD_LOGIC;datain_m10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);datain_m:IN STD_LOGIC_VECTOR(3 DOWNTO 0);period:out std_logic_vector(2 downto 0);extra:IN STD_LOGIC);END period;ARCHITECTURE rtl OF period ISSIGNAL period_s:STD_LOGIC_VECTOR(2 downto 0);BEGINPROCESS(res,datain_m10,datain_m,extra,discon,RESET)BEGINIF(res='1')THENperiod_s<="000";ELSIF(extra='0')THENIF((datain_m10=1 AND datain_m<2)OR(datain_m10=0)OR(datain_m10=1 AND datain_m=2 AND discon='1'))THENperiod_s<="011";ELSIF((datain_m10=2 AND datain_m<4)OR(datain_m10=1 AND datain_m>=2)OR(datain_m10=2 AND datain_m=4 AND discon='1'))THENperiod_s<="010";ELSIF((datain_m10=3 AND datain_m<6)OR(datain_m10=2 AND datain_m>=4)OR(datain_m10=3 AND datain_m=6 AND discon='1'))THENperiod_s<="001";ELSIF((datain_m10=4 AND datain_m<=8)OR(datain_m10=3 AND datain_m>=6))THENperiod_s<="000";END IF;ELSIF(reset='0'AND reset'EVENT)THENperiod_s<=period_s+1;END IF;period<=period_s;END PROCESS;END rtl;(8)交换模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiaohuan isport(Ain_100:in std_logic_vector(3 downto 0); Ain_10:in std_logic_vector(3 downto 0);Ain:in std_logic_vector(3 downto 0);Bin_100:in std_logic_vector(3 downto 0); Bin_10:in std_logic_vector(3 downto 0);Bin:in std_logic_vector(3 downto 0);period:in std_logic_vector(2 downto 0);Aout_100:out std_logic_vector(3 downto 0); Aout_10:out std_logic_vector(3 downto 0); Aout:out std_logic_vector(3 downto 0);Bout_100:out std_logic_vector(3 downto 0); Bout_10:out std_logic_vector(3 downto 0); Bout:out std_logic_vector(3 downto 0));end jiaohuan;architecture behav of jiaohuan isbeginprocess(Ain_100,Ain_10,Ain,Bin_100,Bin_10,Bin,period)beginif(period<=1)thenAout_100<=Ain_100;Aout_10<=Ain_10;Aout<=Ain;Bout_100<=Bin_100;Bout_10<=Bin_10;Bout<=Bin;elseAout_100<=Bin_100;Aout_10<=Bin_10;Aout<=Bin;Bout_100<=Ain_100;Bout_10<=Ain_10;Bout<=Ain;end if;end process;end behav;(9)按键输入模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY anjian ISPORT(S1,S2,S3,S4,S5,S6,S7,S8,clk2: IN STD_LOGIC;dec,pause,res,reset,decA,decB,incA,incB: OUT STD_LOGIC); END anjian;ARCHITECTURE behav OF anjian ISSIGNALres2,res1,re,incA2,incA1,incB2,incB1,decA2,decA1,decB2,decB1,pause2,p ause1,pause_s,pause_s1,reset2,reset1,dec1,dec2:STD_LOGIC;BEGINPROCESS(S7,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENres2<=res1;res1<=S7;END IF;re<=res1 AND (NOT res2) AND clk2;END PROCESS;PROCESS(S1,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENincA2<=incA1;incA1<=S1;END IF;incA<=incA1 AND (NOT incA2) AND clk2; END PROCESS;PROCESS(S2,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENincB2<=incB1;incB1<=S2;END IF;incB<=incB1 AND (NOT incB2) AND clk2; END PROCESS;PROCESS(S3,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENdecA2<=decA1;decA1<=S3;END IF;decA<=decA1 AND (NOT decA2) AND clk2; END PROCESS;PROCESS(S4,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENdecB2<=decB1;decB1<=S4;END IF;decB<=decB1 AND (NOT decB2) AND clk2; END PROCESS;PROCESS(S5,clk2)BEGINIF(clk2='0' AND clk2'EVENT) THENpause2<=pause1;pause1<=S5;pause_s<=pause1 AND (NOT pause2) AND clk2; END PROCESS;PROCESS(pause_s)BEGINIF(re='1')THENpause_s1<='0';ELSIF(pause_s='1'AND pause_s'EVENT) THEN pause_s1<=NOT pause_s1;END IF;pause<=pause_s1;END PROCESS;PROCESS(S6,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENreset2<=reset1;reset1<=S6;END IF;reset<=reset1 AND (NOT reset2) AND clk2; END PROCESS;PROCESS(S8,clk2)BEGINIF(clk2='1' AND clk2'EVENT) THENdec2<=dec1;dec1<=S8;END IF;dec<=dec1 AND (NOT dec2) AND clk2;END PROCESS;END behav;三、引脚分配表四、设计结果当按下S7时,系统复位,液晶屏显示000:000 1st,数码管显示12 00 24当24秒时间到了,则16个led灯全亮,比赛暂停:。

篮球竞赛24秒计时器

篮球竞赛24秒计时器

一、设计题目:篮球竞赛24秒计时器二、设计目的:1、熟悉集成电路的引脚安排和各芯片的逻辑功能及使用方法2、了解篮球竞赛24秒计时器的组成及工作原理3、熟悉篮球竞赛24秒计时器的设计与制作三、设计任务及要求:1、设计要求:1.1具备显示24秒计时功能1.2计时器为递减工作,间隔为1S1.3递减到0时发声光报警信号1.4设置外部开关,控制计时器的清0,启动及暂停2、设计任务:2.1根据原理图分析个单元电路的功能2.2熟悉电路中所用到的各集成块的管脚及其功能2.3进行电路的仿真、调试,直到达到电路能达到规定的设计要求2.4写出完整、详细的课程设计报告四、设计步骤:1分析要求,画原理框图24秒计时器的总体方框图如图2.1所示。

它包括秒脉冲发生器,计数器,译码显示电路,报警电路和控制电路等五个部分组成。

其中计数电路是系统的主要部分。

计数器完成24秒计时功能,而控制电路完成计数器的清零,启动,暂停等功能。

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,电路采用555集成电路组成的多谐振荡器构成译码显示电路用74LS4511和共阴极七段LED显示器组成。

报警电路用发光二极管和蜂鸣器组成。

图2.1原理框图为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系:(1)、操作直接清零开关时,要求计数器清零,数码显示器灭灯。

(2)、当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒字样;当启动开关断开时,计数器开始计数。

(3)、当暂停/连续开关闭合时,控制电路封锁时钟信号CP,计数器处于锁存状态;当暂停/连续开关断开时,计数器继续累计计数。

2单元电路设计2.1秒脉冲发生器秒脉冲发生器电路采用555集成电路组成的多谐振荡器构成,电路图原理图如下:计算得T=1.078s但该电路容易受温度及电阻电容精度影响,误差较大,适用于粗略的场合。

2.2倒计时电路该电路主要由两片74LS192构成。

郑州大学电气工程学院数电课设篮球24秒倒计时报告书解析

郑州大学电气工程学院数电课设篮球24秒倒计时报告书解析

课程设计报告课程名称:电子技术课程设计设计题目:篮球比赛倒计时器专业:自动化班级: 1班学号:学生姓名:时间:年月日~月日―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核总成绩:总分成绩指导教师:苏士美目录前言 (3)第一章计时器概述 (3)1.1篮球竞赛24秒计时器功能 (3)1.2设计任务及要求 (3)第二章电路设计原理及单元模块 (4)2.1设计框图 (4)2.2设计思路 (5)2.3元件选取与参数计算 (5)第三章电路图与工作原理 (11)3.1模块电路 (11)3.2总设计(总电路图) (15)3.2工作原理 (16)第四章安装与调试 (17)4.1布线 (17)4.2电路的调试 (17)第五章设计体会 (18)参考文献 (18)前言数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,本次数字电子课程设计在苏士美老师的指导下我们小组完成了篮球比赛24秒计时器,此计时器功能齐全,具有置数、启动、连续、暂停以及光电报警信号,可以运用到实际比赛中去。

然而,由于知识限制,此次设计还有很多不完备之处,还望老师谅解并予以批评指正。

第一章计时器概述1.1篮球竞赛24秒计时器功能随着篮球知识的普及和NBA比赛在中国的风靡,篮球比赛24秒计时器已经被越来越多的人所熟知。

在篮球比赛中,规定每方球队一次进攻时间不得超过24秒,否则被称为24秒违例。

本课程设计的“篮球比赛24秒计时器”可以运用到实际的比赛中对进攻时间进行24秒的限制并具有自动报警功能。

1.2设计任务及要求1.2.1主要功能与指标1.电路具有24.0秒时间显示功能;2.系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;3.计数过程中,无论处于何种状态,当按下置数键时,技术重新开始;4.计时器为递减计时,其计时间隔为0.1秒;5.当计时器递减计时到零时,显示器显示0,同时发出CP同步闪烁报警信号;6.该电路可应用于篮球比赛。

篮球竞赛30秒计时器课程设计

篮球竞赛30秒计时器课程设计

篮球竞赛30秒计时器课程设计一、课程目标:通过篮球竞赛30秒计时器课程的学习,能够掌握以下技能:1. 能够理解和运用30秒计时器在篮球比赛中的作用和意义;2. 能够熟练掌握30秒计时器的使用方法和使用规则;3. 能够运用30秒计时器进行有效的进攻和防守;4. 培养团队合作精神和比赛策略意识。

二、课程内容:1. 介绍篮球竞赛30秒计时器的作用和意义;2. 讲解30秒计时器的使用方法和使用规则;3. 练习30秒计时器的操作,并进行比赛模拟;4. 教授进攻和防守策略,并应用于30秒计时器的比赛模拟中;5. 进行团队合作练习,培养团队合作精神。

三、课程安排:1. 熟悉知识点:10分钟简单介绍篮球竞赛30秒计时器的作用和意义,让学生明确学习目标。

2. 讲解使用规则:10分钟详细讲解30秒计时器的使用方法和使用规则,让学生熟悉其操作流程。

3. 30秒计时器操作训练:30分钟让学生进行计时器模拟操作练习,错漏重点训练,直到达到熟练操作的程度。

4. 篮球比赛模拟:20分钟组织两个团队进行比赛模拟,练习30秒计时器的应用,同时结合进攻和防守策略。

5. 团队合作练习:10分钟通过进行团队合作练习,培养学生的团队合作精神和比赛策略意识。

四、教学方法:1. 讲授法:通过讲解30秒计时器的使用方法和使用规则,让学生了解其操作流程,熟悉其使用规则。

2. 模拟操作法:通过计时器模拟操作练习,让学生掌握熟练操作的技巧。

3. 实践练习法:组织比赛模拟,结合进攻和防守策略,让学生在实践中掌握30秒计时器的应用。

4. 团队合作法:通过团队合作练习,培养学生的团队合作精神和比赛策略意识,提高他们的团队协作能力。

五、教学评价:通过考核学生在模拟操作练习和比赛模拟中的表现,以及团队工作能力的发挥情况,综合评价学生的学习成果,给予相应的评估和激励。

六、教学资源:1. 30秒计时器2. 篮球场地3. 篮球装备(篮球、球网、球架、护膝等)4. 篮球教学板书5. PPT课件6. 计时器使用规则手册。

体育比赛计时表的使用方法

体育比赛计时表的使用方法

体育比赛计时表的使用方法
嘿,你问体育比赛计时表的使用方法呀?那咱就好好聊聊。

体育比赛计时表呢,看着挺复杂,其实用起来也不难哦。

首先,拿到计时表,先看看各个按钮都是干啥的。

一般来说,会有开始、停止、复位这些基本的按钮。

搞清楚了按钮的功能,用起来就顺手啦。

要是准备开始一场比赛,就按下开始按钮。

这时候计时表就开始工作啦,数字会不停地跳动。

看着时间一点点过去,还挺紧张刺激呢。

比赛过程中,如果有暂停啥的情况,就赶紧按下停止按钮。

这样时间就会停下来,等恢复比赛的时候,再按下开始按钮,继续计时。

等比赛结束了,可别忘了按下复位按钮。

把计时表归零,准备下一场比赛。

有些计时表还有其他功能呢,比如可以记录分段时间。

比如说跑步比赛,想知道每一圈的时间,就可以用这个功
能。

按下相应的按钮,就能记录下不同阶段的时间。

还有的计时表可以设置倒计时。

比如篮球比赛的最后几分钟,设置一个倒计时,让大家更有紧迫感。

我给你讲个事儿吧。

我有个朋友,他负责学校运动会的计时工作。

一开始他不太会用计时表,手忙脚乱的。

后来他认真研究了一下计时表的使用方法,还提前练习了几遍。

到了运动会那天,他可熟练了。

比赛开始、停止、复位,操作得特别流畅。

大家都夸他计时准确,让比赛进行得很顺利呢。

所以啊,体育比赛计时表只要掌握了方法,就能很好地发挥作用。

加油吧!。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

篮球比赛24/30秒计时器(JZD020-011)使用说明书
一产品简介
本产品用于篮球赛场24/30秒倒计时。

二技术指标
⏹电源电压:220V/50Hz。

⏹30秒计时:可选择24秒或30秒倒计时,时钟颜色红色;倒计时终止时有声音和灯光提示。

三使用方法
1)设备连接:产品由显示屏、电缆及控制箱组成,具体连接如下。

⏹显示屏共两台,外形和功能完全相同。

正面红色数码显示24 / 30秒倒计时;背面下部航空插座用于连接控制箱。

显示屏与篮球架的安装立柱支架可参考后附图。

⏹电缆共有2根,分别用于控制箱与2个显示屏的连接。

⏹控制箱外侧有3个插座,2个航空插座连接显示屏, 1个三芯插座连接220V电源(注意:电源地线应可靠接地)。

2)打开控制箱电源开关,指示灯亮时表示正常供电。

3)24/30/秒倒计时控制按键操作说明:
“24”按钮:按一次键,用于24秒复位。

“30”按钮:按一次键,用于30秒复位。

“走”按钮:按一次键,30秒(或24秒) 倒计时开始走时。

当走至0秒时,自动鸣笛并点亮警告红色信号灯。

“停”按钮:按一次键,30秒(或24秒) 倒计时暂停。

四注意事项
⏹控制箱外接220V交流电源插座应为三眼,接地端应可靠接入地线,以保证使用时人身、设备安全。

⏹显示屏与篮板上沿和后部的距离应为不小于0.5米(具体可参见上图),以避免投篮时篮球直接打在显示屏上。

⏹显示屏与支架安装时,应使其具有足够的刚性,在篮球撞击篮板时不晃动。

应将电缆与支架立柱固定,防止显
示屏晃动时航空插头受力。

在电缆穿过支架的过孔处,应用绝缘材料隔离,防止因电缆护套破损与金属体导通。

⏹连接电缆航空插头时,应将缺口向上对齐定位方向,插入后再可靠锁紧螺纹,以防方向不对插入时损坏插座。

分解电缆插接时,应细心松开螺纹锁定机构,尽力避免硬拉,以防损坏芯线。

北京金智电科技有限公司(www. )
地址:北京市海淀区中关村新科祥园9号楼101室邮编:100080
电话: (010) 82522232 / 62574676 / 62544436
显示屏与落地式支架的安装连接如下图所示:。

相关文档
最新文档