数字电路课程设计数字闹钟

合集下载

数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于Q U A R T U SHEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】大连理工大学本科实验报告题目:数电课设——多功能数字钟课程名称:数字电路课程设计学院(系):电信学部专业:电子与通信工程班级:学生姓名: ***************学号:***************完成日期:成绩:2010 年 12 月 17 日题目:多功能数字时钟一.设计要求1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)2)具有手动校时校分功能3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零二.设计分析及系统方案设计1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。

基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。

利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。

将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。

进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码从59分50秒开始,数字钟进入整点报时功能。

每隔两秒提示一次。

(本设计中以两个LED灯代替蜂鸣器,进行报时)2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。

可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。

将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计课程设计报告设计题目:数字电子时钟的设计与实现班级:学号:姓名:指导教师:设计时间:摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。

诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。

功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。

通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。

通过仿真过程也进一步学会了Multisim7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。

由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。

关键词:数字钟,组合逻辑电路,时序电路,集成电路目录摘要 (1)第1章概述············································3第2章课程设计任务及要求·······························42.1设计任务············································42.2设计要求············································4第3章系统设计··········································63.1方案论证············································63.2系统设计············································63.2.1结构框图及说明·································63.2.2系统原理图及工作原理···························73.3单元电路设计········································83.3.1单元电路工作原理·······························83.3.2元件参数选择···································14第4章软件仿真·········································154.1仿真电路图··········································154.2仿真过程············································164.3仿真结果············································16第5章安装调试··········································175.1安装调试过程········································175.2故障分析············································17第6章结论···············································18第7章使用仪器设备清单··································19参考文献·················································19收获、体会和建议·········································20第1章概述数字集成电路的出现和飞速发展,以及石英晶体振荡器的广泛应用,使得数字钟的精度稳定度远远超过了老式的机械表,用数字电路实现对“时”、“分”、“秒”数字显示的数字钟在数字显示方面,目前已有集成的计数、译码电路,它可以直接驱动数码显示器件,也可以直接采用才COMS--LED光电组合器件,构成模块式石英晶体数字钟。

数字电路实验-数字闹钟

数字电路实验-数字闹钟

数字电路实验-数字闹钟————————————————————————————————作者:————————————————————————————————日期:南京航空航天大学数字电路课程设计之数字钟数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求(1)设计指标①由LM555构成多谐振荡器产生1HZ标准秒信号;②分、秒为00~59六十进制计数器,用数码管显示;③时为00~23二十四进制计数器,用数码管显示;④具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;⑤整点具有报时功能,走时过程中能按预设的定时时间(精确到小时)启动闹钟,以发光二极管闪烁表示,启闹时间为3s~10s。

(2)设计要求①画出电路原理图(multisim仿真);②元器件及参数选择;③电路仿真与调试。

(3)要求:自行装配和调试,并能发现问题和解决问题。

布局合理,导线横平竖直,且不要从集成块上跳线,导线紧贴面包板,连接可靠,交叉线尽可能少。

(4)编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

数字电子钟的总体图如图所示。

数字电子钟由以下几部分组成:多频振荡器构成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部分等。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数字式闹钟电路设计报告

数字式闹钟电路设计报告

数字式闹钟电路设计报告目录一.设计任务和要求 (1)二.设计方案的选择与论证 (2)三.电路设计计算与分析 (5)四.总结及心得 (15)五.附录 (17)六.参考文献 (18)一.设计任务和要求数字式闹钟的具体要求如下:(1) .时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。

(2) .具有快速校准时、分、秒的功能。

(3) .能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。

二.设计方案的选择与论证2.1 数字闹钟的设计思想要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。

而脉冲源产生的脉冲信号的频率较高,因此,需要进行分频,使得高频脉冲信号转变为适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1HZ)。

经过分频器输出的秒脉冲信号到计数器中进行技术。

由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要对计数器分别设计为60进制,60进制和24进制(本次我选作24进制)的,并发出驱动信号。

各计数器输出信号经译码器、驱动器到数字显示器,按“时”、“分”、“秒”顺序将数字显示出来。

值得注意的是:任何计时装置都有误差,因此应考虑校准时间电路。

校时电路一般采用自动快速调整和手动调整,“自动快速调整”可利用分频器输出的不同频率的脉冲使显示的时间自动迅速调整。

“手动调整”可利用手动的节拍调准显示时间。

基于要求本次采用了自动快速调整。

数字闹钟要求有定时响闹的功能,故需要提供设定闹时电路和对比起闹电路。

设时电路应共享译码器、驱动器到数字显示器,以便使用者设定时间,并可减少电路的芯片数量;而对比起闹电路提供声源,应具有人工止闹功能,止闹后不再重新操作,将不再发生起闹等功能。

2.2 数字闹钟组成框图及工作过程数字闹钟的组成框图如图1.1所示:分别由数码显示电路,计数器,校时电路,脉冲产生的分频器及振荡器,闹时设置电路和闹时电路。

数字闹钟课程设计报告资料整理

数字闹钟课程设计报告资料整理

数字电路实验课程设计报告数字闹钟第一部分设计任务1.1设计任务设计、制作一个带有校时功能、可定时起闹的数字闹钟。

1.2设计指标1、有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。

2、计时以24小时为周期。

(23:59→00:00)3、具有校时电路,可进行分、时较对。

4、走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。

第二部分设计方案2.1总体设计方案说明系统组成:秒信号发生器:由LM555构成多谐振荡器走时电路:计数器和与非门组成校时电路:秒信号调节闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成显示电路:译码器数码管模块结构与方框图第三部分电路设计与器件选择3.1秒信号发生器3.1.1模块电路及参数计算提供秒脉冲取R1=1.5K,R2=2.4KC=220uF T≈1S3.1.2工作原理和功能说明CR T C R R T PL PH 2217.0)(7.0=+=z11H Tf ==CR R T T T PL PH )2(7.021+=+=3.1.3器件说明内部电路组成:(1)分压器(3个R)(2)电压比较器(A1、A2)(3)RS 触发器(4)反相器(5)晶体管T1端2端3端4端5端6端7端8端3.2走时电路设计3.2.1模块电路及参数计算包括秒计时器、分计时器、时计时器,每一部分由两片计数器级联构成。

(1).秒计时器:十进制与六进制级联而成,由两片74LS163和与非门实现。

(2).分计时器:同秒计时器。

(3).时计时器:模24,计数显示00~23。

由两片74LS160和与非门实现。

3.2.2工作原理及功能说明秒分计时器原理时计数器原理74LS160其清零方式通常称为“异步清零”,即只要清零端有效,不管有无时钟信号,输出端立即为0。

译码显示电路用译码器74LS48对计数结果进行译码,译码后在共阴极数码管上显示。

3.2.3器件说明74LS163结构图74LS160结构图3.3时间校对电路3.3.1模块电路及参数计算用555输出信号加至分,时计时器使其快速计数3.3.2工作原理和功能说明将所需要校对的时或分计数电路的脉冲输入端切换到秒信号,使用快脉冲计数,到达标准时间后再切换回正确的输入信号。

EDA课程设计_数字时钟(闹钟)

EDA课程设计_数字时钟(闹钟)

课程设计说明书题目:闹钟学院(系):年级专业:学号:学生姓名:指导教师:教师职称:目录第1章摘要 (1)第2章设计方案……………………………………………………………………………2.1 VHDL简介……………………………………………………………………………2.2 设计思路……………………………………………………………………………第3章模块介绍……………………………………………………………………………第4章 Verilog HDL设计源程序…………………………………………………………第5章波形仿真图…………………………………………………………………………第6章管脚锁定及硬件连线………………………………………………………………心得体会 (17)参考文献 (18)第一章摘要在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。

在此次EDA课程,我的设计课题是闹钟,使用VHDL语言进行编程完成。

报告书主要由设计方案、模块介绍、设计源程序、仿真波形图和管脚锁定及硬件连线四部分组成。

设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。

第二章设计方案§2.1 VHDL简介数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。

EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

数电课程实验报告——数字钟的设计

数电课程实验报告——数字钟的设计

.《数字电子技术》课程设计报告设计题目: 数字钟班级学号:1407080701221 1407080701216 1407080701218学生:志强企海清指导教师:周玲时间:2016.6.15-2016.6.16《数字电子技术》课程设计一、设计题目:数字钟的设计一、设计任务与要求:1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

其中时为24进制,分秒为60进制。

2. 其他功能扩展:(1)设计一个电路实现时分秒校准功能。

(2)闹钟功能,可按设定的时间闹时。

(3)设计一个电路实现整点报时功能等。

在59分51秒、53秒、55秒、57秒输出750Hz 音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。

二、设计方案:数字电子钟由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器的输出分别经译码器送显示器显示。

计时出现误差时,可以用校时电路校时、校分。

三、芯片选定及各单元功能电路说明:实验器材及主要器件(1)CC4511 6片(2)74LS90 5片(3)74LS92 2片(4)74LS191 1片(5)74LS00 5片(6)74LS04 3片(7)74LS74 1片(8)74LS2O 2片(9)555集成芯片1片(10)共阴七段显示器6片(11)电阻、电容、导线等若干①振荡器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。

它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。

这用压电谐振的频率即为晶体振荡器的固有频率。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
? 计时出现误差时可ቤተ መጻሕፍቲ ባይዱ用校时电路进行校时和校 分。
9
二、数字钟电路系统的组成框图
时显示器
分显示器
秒显示器
定时控制
主振荡器时译产码器生的稳定分译的码器高 体 电频脉冲信号,作为数字 路钟的时时计间数器基准,再分计经数器分
秒译码器 秒计数器
仿电台报时



报整点时数

频器输出标准秒脉冲 校时电路
1s 秒计数触器摸整计点满报时60后
C1 0.1? F
多谐振荡器。
1
5
C2 0.01? F
这里设振荡频率
fo =103Hz
14
三、单元电路的设计
? 振荡器的设计
? 分频器的设计
? 时、分、秒计数器的设计
? 译码显示电路设计
? 校时电路的设计
? 定时控制电路的设计
? 正点报时电路的设计
? 报整点时数电路的设计
? 触摸报时电路的设计
16
反相器。
18
秒脉冲产生电路的设计(电路形式二)
晶体振荡器 32768Hz
?2
2分频电路(共15个)
?2 ? ?2
CP 1秒
共32768 分频
19
晶体振荡器 32768Hz
1
uo
1
32768分频电路
秒脉冲
R1 10M ?
振荡器中的 非门和分频电路 通常
石英晶体
由一块集成电路 CD4060(14位二进
5
一、数字钟的功能要求(二)
? 能进行手动校时
利用两个单刀双掷开关分别对时位和分位进行校正。 ? 校时位时,要求时位以每秒计 1的速度循环计数; ? 校分位时,要求分位以每秒计 1的速度循环计数,
此时秒位计数应置 0,并且分位向时位的进位必须 断开。 ? 可扩展为:快调和慢调两种
6
一、数字钟的功能要求(三)
触摸报时;
7
一、数字钟的功能要求(四)
? 具有定时闹功能
计时过程中的任意“时”、“分”均能按时起闹。 ? 可扩展:闹钟响声时间可调
8
二、数字钟电路系统的组成框图
该系统的工作原理是:
? 振荡器产生高稳定的高频脉冲信号,作为数字 钟的时间基准,再经分频器输出标准秒脉冲信 号。
? 秒计数器计满 60 后向分计数器进位,分计数器 计满60 后向小时计数器进位,小时计数器按照 24 或者“12 翻1”规律计数。
? 译码显示电路设计
? 校时电路的设计
? 定时控制电路的设计
? 正点报时电路的设计
? 报整点时数电路的设计
? 触摸报时电路的设计
11
1.振荡器的设计
振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程 度,通常选用石英晶体构成振荡器电路。一般来说,振荡器 的频率越高,计时精度越高。
32768Hz
C1 5-50P
制串行计数器) 实现。
20
振荡器中的非门和分频电路通常由一块集成电路 CD4060(14位二进制串行计数器)实现。
成,包括电路图
4
一、数字钟的功能要求(一)
? 能进行正常的时、分、秒计时功能 ? 准确计时,以数字形式显示时、分、秒的时间; ? 小时的计时要求为 24 进制
23:59:59 》》0:00:00 ? 可扩展为:小时的计时要求为“ 12 翻1”
12:59:59 》》1:00:00 ? 分和秒的计时要求为 60 进位;
17
秒脉冲产生电路的设计(电路形式一)
R1
R2
1
C1 1
u o 分频电路
A
秒脉冲
石英晶体
C2
4MHz
R1=R2=0.7-2k? C1=0.01? F 耦合电容 C2=10pF 防止寄生振荡产生。
输出方波 uO的频率 = 石英晶体的固有谐振频率
实际应用中,为了改善
输出波形和增强带负载能力,
通常还在Uo输出端再加一级
? 采用555 定时器构成多谐振荡器: 缺点:频率不准确。 ? 采用石英晶体振荡器: 优点:振荡频率准确,电路结构简单。
12
晶体振荡器电路
如图所示为电子手 表集成电路(如 5C702)中的晶体 振荡器电路;
常取晶振的频率为 32768Hz ,因其内 部有15级2分频集 成电路,所以输出 端正好可得到 1Hz 的标准脉冲
? 能进行整点报时
要求发出仿中央人民广播电台的整点报时信号 ? 即在59 分50 秒起隔2秒钟发出一次低音的“嘟”
信号(信号鸣叫持续时间 1s ,间隙1s ),连续发 出4 次; ? 到达整点时(即 00 分00 秒)再鸣叫一次高音的 “哒”信号(信号持续时间仍为 1s )。 ? 可扩展:报整点时数(几点响几声);
设计题目 数字闹钟电路设计
1
目录
? 课程设计要求 ? 数字钟的功能要求 ? 数字钟电路系统的组成方框图 ? 单元电路设计 ? 整机电路
2
课程设计要求
? 课程设计过程
? 理论设计阶段
? 硬件电路实验阶段
? 实验报告及答辩阶段
? 应达到的基本要求
? 独立完成实验的理论设计;
? 学会查阅技术手册和文献资料;
1
RF
22M? JT
32768Hz C1 3/22pF
1 vo
R 150k?
C2 20pF
13
555定时器实现电路
+5V R1 2k?
如果精度要求 不高也可以采用由
RP
84
10k? 7
集成逻辑门与 RC组 成的时钟源振荡器
R2 5.1k?
6 555555 3 vo 或由集成电路定时
2
器 515m5s 与 RC 组成的
数字或小钟“时电1计路2计计翻数系数数时1器统”器出分 向按由路规振的现计 小照荡主进律输器误数 时2体行计出4差器 计电校经时计数路时译可满器和和码分以进6频扩校0器用器位后展分送校电显时向路示电分两器计大数部器分进所位组成
10
三、单元电路的设计
? 振荡器的设计
? 分频器的设计
? 时、分、秒计数器的设计
2.分频器的设计
分频器的功能主要有两个:
? 一是产生标准秒脉冲信号;
? 二是提供功能扩展电路所需要的信号, 如仿电台报 时用的1kHz的高音频信号和 500Hz的低音频信号 等。
可选用芯片很多,例如: ?3片中规模集成电路计数器74LS90,74LS161等; ?14位二进制计数器,如CD4020、CD4060、MC14020、 MC14060、74HC4020、74HC4060。
? 进一步熟悉常用集成电路的设计方法;
? 初步掌握电路的调试技能和故障排除方法;
? 撰写实验报告;
3
设计报告的主要内容及要求
? 设计任务与要求 ? 设计方案比较 ? 单元电路工作原理和实现电路(芯片功能等) ? 电路中用到的元件要求查出具体型号,并且按照
引脚画图 ? 完整的整机电路 ? 正本报告只能用一种颜色的笔(不能用铅笔)完
相关文档
最新文档