EDA技术实验教案

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

教案

2011 ~2012学年第1学期

系(部)室电子与通信工程系

课程名称EDA技术及应用(实验)专业、年级、班级09电气、08通信专业

主讲教师张文希

长沙学院教务处

教案编写说明

长沙学院教案

编号: 1 (累积2课时) 课时安排:2 学时教学课型:实验课

题目:实验一简单逻辑电路实验

教学目的要求:

运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证,并分析其波形。

教学重点、难点:

重点:Quartus II 软件平台和使用VHDL 语言设计电路的方法

难点:波形仿真、分析

教学方式、手段、媒介:讲授、多媒体、示范

教学过程:

一、实验目的

1.学习Create-SOPC实验平台的使用方法;

2.熟悉Quartus II 软件平台和使用VHDL 语言设计电路的方法;

3.学习简单逻辑电路的设计、仿真和硬件测试。

二、实验内容

运用Quartus II 集成环境下的VHDL文本设计方法设计半加器,进行波形仿真、引脚分配并下载到实验设备上进行逻辑功能验证。

三、实验步骤及参考程序

1.EDA实验箱介绍和使用

2.创建个人实验文件夹(最好使用英文字母命名不要用中文或数字名称)如:D:\example

3.运行Quartus Ⅱ软件。

4.创建一个VHDL设计文件:File -> New,在Device Design Files 中选择VHDL File。在程序编辑窗输入VHDL程序并保存;在弹出对话框中输入文件名(必须和程序中实体名相同)并使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图1-1所示。

图1-1 图1-2

5.创建一个新工程:点击图1-1中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder(其中工程名可换名但顶层实体名必与编写的程序实体名一致),如图1-2。点击NEXT,再在弹出窗中点击NEXT,选择目标器件:Cyclone 系列EP1C20F324C8 芯片,如图1-3。

图1-3

6.编译:选择Processing->Start Compilation命令,对此工程进行逻辑分析、综合适配、时序分析等。如果设计正确则完全通过各种编译,如果有错误则根据报错信息返回h_adder.vhd 进行修改,直至完全通过编译为止。

7.创建一个仿真波形文件:File -> New,在Other Files 中选择Vector Waveform File,如图1-4,进入波形编辑窗口。

图1-4 图1-5

8.以扩展名为.VWF,文件名与编译的文件名相同,保存创建的仿真波形文件,如h_adder.vwf。

9.输入引脚:Name 栏中点击鼠标右键,选择“Insert Node or Bus”,在其对话框中点击“Node Finder” 按钮,弹出“Node Finder” 对话框,Named:*;Filter :Pin:all,点击“List”,列出所有引脚,在其中选择需要仿真的引脚,如图1-5所示。它们将在波形编辑窗口中出现。

图1-6 图1-7

长沙学院教案

编号: 2 (累积4课时)

长沙学院教案

编号: 3 (累积6课时)

长沙学院教案

编号: 4 (累积8课时) 课时安排:2 学时教学课型:实验课

题目:实验四1位二进制全加器设计

教学目的要求:

使用图形输入的方法用逻辑门电路设计一个半加器,生成符号文件。分别进行仿真、引脚分配并下载到电路板进行功能验证。

教学重点、难点:

重点:图形法设计电路,学会制作图形元件,调用自制图形元件。

难点:顶层文件与底层文件的设计

教学方式、手段、媒介:讲授、多媒体、示范

教学过程:

一、实验目的

熟悉Quartus II 集成环境的图形输入方法;

学会把设计项目成为可调用元件符号和调用元件的方法;

掌握同一工程中多个文件的编译、仿真方法。

二、实验内容

本实验首先使用图形输入的方法由逻辑门电路设计一个半加器,然后使用。分别进行仿真、引脚分配并下载到电路板进行功能验证。

三、实验步骤

创建个人实验文件夹(最好使用英文字母命名不要用中文名称)。

运行Quartus II 软件,选择File -> New,在Device Design Files 中选择Block Diagram/Schematic File,如图4-1所示,点击OK打开原理图编辑窗口。

图4-1 图4-2

在图形编辑窗中双击鼠标左键,将弹出元件输入对话框,在对话框右栏打开元件库找到需要的元件,如图4-2所示,点击OK即可将元件调入原理图编辑窗。将所有需要的元件都调入编辑窗。

将各个元件采用单击鼠标并拖动的方法连接号电路图,然后分别在input和output的pin_name上双击使变为黑色,再分别输入各引脚名,如图4-3所示。

图4-3

选择File—>Save As命令,选择为此工程已建好目录,将设计好的原理图文件取名为h_adder.bdf同时使下方小框内出现“√”,点击保存会弹出“是否创建新工程”提示信息如图4-4所示。

图4-4 图4-5

创建一个新工程:点击图4-4中“是”可进入创建工程向导(也可以File -> New project Wizard进入向导),此时看到的默认工程名、顶层实体名都为h_adder,此时将工程名换为f_adder顶层实体名不变,如图4-5所示。点击NEXT,再在弹出窗中点击NEXT,选择目标器件:Cyclone 系列EP1C20F324C8 芯片。按照实验一的方法完成半加器的编译、仿真。

将半加器设置成可调用的元件,在打开半加器原理图文件下,选择File—>Create/Update —>Create Symbol Files for Current File命令,如图4-6所示。此时半加器文件形成了一个符号元件可供高层次设计调用。

图4-6

相关文档
最新文档