《EDA技术》期末测试参考答案

合集下载

聊城大学《EDA技术与实验》期末复习题及参考答案

聊城大学《EDA技术与实验》期末复习题及参考答案

《EDA技术与实验》练习题一、简答题1.写出与下列原理图符号对应的实体描述,图中左侧为输入信号,右侧为输出信号,数据类型自定:2、简述进程的组成及要点。

3.简述信号的作用及其行为特性的几个重要方面。

4.简述进程的组成及要点。

5.比较信号与变量的区别。

二、分析题(一)LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT (a, b :IN STD_LOGIC;c : OUT STD_LOGIC );END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b ;END ARCHITECTURE fu1;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY adder ISPORT (ain,bin,cin : IN STD_LOGIC;cout,sum : OUT STD_LOGIC );END ENTITY adder;ARCHITECTURE fd1 OF adder ISCOMPONENT aderPORT ( a,b : IN STD_LOGIC;co,so : OUT STD_LOGIC);END COMPONENT ;COMPONENT or2aPORT (a,b : IN STD_LOGIC;c : OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f : STD_LOGIC;BEGINu1 : ader PORT MAP(a=>ain,b=>bin,co=>d,so=>e);u2 : ader PORT MAP(a=>e,b=>cin,co=>f,so=>sum);u3 : or2a PORT MAP(a=>d,b=>f,c=>cout);END ARCHITECTURE fd1;电路or2a是时序电路还是组合电路?电路ader完成的功能是什么?or、and分别表示什么运算?顶层电路的实体名是什么?电路是分几层进行设计的?顶层电路是由哪几个元件构成的?试说明PORT MAP()表的含义:(二)library ieee; use ieee.std_logic_1164.all;entity shif8 isport(clk,co : in std_logic;md : in std_logic_vector(1 downto 0);qb : out std_logic_vector(7 downto 0);cn : out std_logic);end shif8;architecture behav of shif8 issignal reg : std_logic_vector(7 downto 0); beginprocess(clk,md,co) beginif clk'event and clk = '1' thencase md iswhen "00" => reg(0) <= co;reg(7 downto 1) <= reg(6 downto 0);cy <= reg(7);when "01" => reg(0) <= reg(7); reg(7 downto 1) <= reg(6 downto 0);when "10" => reg(7) <= reg(0); reg(6 downto 0) <= reg(7 downto 1);when "11" => cn <= reg(0); reg(6 downto 0) <= reg(7 downto 1); reg(7) <= co;when others => null;end case;end if; qb(7 downto 0) <= reg(7 downto 0);end process;end behav;实体说明语句可否省略?输入md的作用是什么?列入进程的敏感信号有哪些?在“00”模式下电路完成什么功能?在“10”模式下电路完成什么功能?时钟clk的有效时刻是什么?信号reg 起什么作用?若将一组串行8位数据变为并行数据,需要几个时钟周期? 电路能否实现并行数据到串行数据的转换? 此进程中的if 语句是否是完整条件语句?三、综合应用题1.根据图a 所示状态图,按照图b写出对应结构的VHDL状态机。

eda技术考试题及答案

eda技术考试题及答案

eda技术考试题及答案一、单选题(每题2分,共10题,共20分)1. EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog答案:C2. 在EDA技术中,以下哪个工具主要用于逻辑综合?A. ModelSimB. QuartusC. VivadoD. MATLAB答案:C3. 以下哪个不是FPGA的编程元件?A. 触发器B. 计数器C. 逻辑门D. 存储器答案:B4. 在EDA技术中,以下哪个不是数字电路设计的基本步骤?A. 逻辑设计B. 电路仿真C. 电路测试D. 电路维修答案:D5. 在VHDL中,以下哪个不是基本的数据类型?A. IntegerB. RealC. BooleanD. Character答案:D二、多选题(每题3分,共5题,共15分)6. EDA技术中,以下哪些是常见的仿真软件?A. ModelSimB. ISEC. QuartusD. MATLAB答案:A|D7. 在数字电路设计中,以下哪些是常用的测试方法?A. 功能仿真B. 时序仿真C. 混合仿真D. 静态仿真答案:A|B|C8. 以下哪些是FPGA设计中常用的编程语言?A. VHDLB. VerilogC. CD. SystemVerilog答案:A|B|D9. 在EDA技术中,以下哪些是硬件描述语言的特点?A. 硬件无关性B. 可移植性C. 可重用性D. 可测试性答案:A|B|C|D10. 在数字电路设计中,以下哪些是常见的设计错误?A. 逻辑错误B. 时序错误C. 面积错误D. 功耗错误答案:A|B|D三、填空题(每题2分,共5题,共10分)11. EDA技术中的“EDA”代表______、______和______。

答案:Electronic|Design|Automation12. FPGA的全称是______。

答案:Field-Programmable Gate Array13. 在VHDL中,用于定义信号初始值的属性是______。

电子科技大学22春“电子信息工程”《EDA技术》期末考试高频考点版(带答案)试卷号1

电子科技大学22春“电子信息工程”《EDA技术》期末考试高频考点版(带答案)试卷号1

电子科技大学22春“电子信息工程”《EDA技术》期末考试高频考点版(带答案)一.综合考核(共50题)1.不符合进程语句启动条件的是()。

A.if语句B.wait语句C.敏感信号量D.wait语句或敏感信号量参考答案:A2.下列关于信号的说法不正确的是()。

A.信号相当于器件内部的一个数据暂存节点。

B.信号的端口模式不必定义,它的数据既可以流进,也可以流出。

C.在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。

D.信号在整个结构体内的任何地方都能适用。

参考答案:C3.“a=4’b1100,b=4’bx110”选出正确的运算结果()。

A.a&b=0B.a&&b=1C.b&a=xD.b&&a=x参考答案:B4.EDA工具不包括()等模块。

A.HDL综合器B.控制器C.适配器D.下载器5.请在下例的语句中选择所需的符号____。

signal a,b,c:std_logic;c____a+b after 10ns;A.:=B.C.==D.=参考答案:B6.VHDL文本编辑中编译时出现如下的报错信息:Error:Line1,File e:\muxfile\mux21.tdf:TDF syntax error…… 其错误原因是()。

A.错将设计文件的后缀写成.tdf而非.vhdB.错将设计文件存入了根目录,并将其设定成工程C.设计文件的文件名与实体名不一致D.程序中缺少关键词参考答案:A7.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,()是错误的。

A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束C.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的D.综合是纯软件的转换过程,与器件硬件结构无关参考答案:D8.变量和信号的描述正确的是()。

eda技术实用教程期末考试题及答案

eda技术实用教程期末考试题及答案

eda技术实用教程期末考试题及答案一、选择题(每题2分,共20分)1. EDA技术中,FPGA指的是什么?A. 现场可编程逻辑阵列B. 现场可编程门阵列C. 现场可编程逻辑器件D. 现场可编程门器件答案:B2. 在EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. C语言D. SystemVerilog答案:C3. 在VHDL中,以下哪个是并行语句?A. if语句B. case语句C. loop语句D. wait语句答案:D4. 在Verilog中,以下哪个是过程控制语句?A. always块B. initial块C. begin-end块D. module块答案:A5. 在EDA技术中,以下哪个不是测试平台(testbench)的作用?A. 模拟硬件环境B. 生成测试向量C. 验证设计的功能D. 实现硬件的物理制造答案:D6. 在VHDL中,以下哪个是用于定义信号的数据类型?A. integerB. realC. bitD. std_logic答案:D7. 在Verilog中,以下哪个是模块间通信的方式?A. 端口C. 寄存器D. 变量答案:A8. 在EDA技术中,以下哪个不是仿真工具?A. ModelSimB. QuartusC. VivadoD. Xilinx ISE答案:B9. 在VHDL中,以下哪个是用于描述时序逻辑的构造?A. processB. if-then-elseC. case答案:A10. 在Verilog中,以下哪个是用于描述组合逻辑的构造?A. always块B. initial块C. always_comb块D. always_ff块答案:C二、填空题(每题2分,共20分)1. EDA技术中的“EDA”代表_________、_________和_________。

答案:电子设计自动化2. 在VHDL中,_________关键字用于定义一个信号的初始值。

eda期末考试题及答案

eda期末考试题及答案

eda期末考试题及答案一、选择题(每题2分,共20分)1. EDA的全称是:A. 电子设计自动化B. 电子文档自动化C. 电子数据自动化D. 电子设备自动化答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 高级数据链接语言C. 高级硬件逻辑语言D. 高级硬件描述库答案:A3. 下列哪个不是EDA工具的主要功能?A. 逻辑综合B. 波形仿真C. 编译代码D. 布局布线答案:C4. 在进行数字电路设计时,以下哪个步骤是不需要的?A. 编写代码B. 功能仿真C. 绘制电路图D. 逻辑综合5. 以下哪个不是EDA软件的典型应用领域?A. 集成电路设计B. 软件编程C. 系统级建模D. 硬件验证答案:B6. 在EDA中,FPGA指的是:A. 现场可编程逻辑阵列B. 固定可编程逻辑阵列C. 现场可编程门阵列D. 固定可编程门阵列答案:C7. 以下哪个是EDA设计流程中的验证步骤?A. 代码编写B. 功能仿真C. 逻辑综合D. 物理实现答案:B8. 在EDA中,时序分析的目的是:A. 确保电路功能正确B. 确保电路布局合理C. 确保电路时序正确D. 确保电路成本最低答案:C9. 在EDA中,以下哪个不是常见的波形文件格式?B. VECC. WAVD. LAY答案:C10. 在EDA中,以下哪个不是常见的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog答案:C二、填空题(每题2分,共20分)1. EDA工具可以用于______、______和______等阶段。

答案:设计、验证、测试2. 在EDA中,______是一种用于描述数字系统行为的硬件描述语言。

答案:VHDL3. EDA工具中的______功能可以帮助设计者检查电路设计是否满足时序要求。

答案:时序分析4. FPGA的全称是______。

答案:现场可编程门阵列5. 在EDA设计流程中,______是将设计转换为可以在硬件上实现的逻辑网表的过程。

福师21年2月《EDA技术》期末考试A卷答案

福师21年2月《EDA技术》期末考试A卷答案

福师21年2月《EDA技术》期末考试A卷答案《EDA技术》期末考试A卷成绩:第一题:填空题(每题3分,共30分,)1.EDA技术的发展分为CAD、CAE和_______EDA____三个阶段。

2.EDA的设计输入主要包括文本输入、图形输入、波形输入。

3.当前最流行的并成为IEEE标准的硬件描述语言包括:_VHDL____和VerilogHDL。

4.有三种端口类型,分别是物理端口、__逻辑端口__和自定义端口。

5.输入和双向端口不能声明为寄存器型。

6.在常量表达示中,二进制是用B字母表示,八进制是用O字母表示,十六进制是用H字母表示。

7.宽度为1位的变量称为标量,如果在变量声明中没有指定位宽,则默认为标量。

线宽大于1位的变量(包括net型和variable型)称为向量。

8.表达式:8`h55&&8`haa的值为1,表达式:8`h55 & 8`haa的值为多少。

9.语句out=sel?1.什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?IP可重复使用的一种功能设计,可节省设计时间、缩短开发周期,避免重复劳动为大规模SOC设计提供开发基础和开发平台。

IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

2.基于FPGA/CPLD的数字系统没计流程包括哪些步骤?(1)设计输入,用一定的逻辑表达手段表达出来。

(2)逻辑综合,将用一定的逻辑表达手段表达出来的设计经过一系列的操作,分解成一系列的逻辑电路及对应关系(电路分解)。

(3)目标器件的适配,在选用的目标器件中建立这些基本逻辑电路的对应关系(逻辑实现)。

(4)目标器件的编程/下载,将前面的软件设计经过编程变成具体的设计系统(物理实现)。

(5)仿真/硬件测试,验证所设计的系统是否符合要求。

同时,再设计过程中要进行有关“仿真”,即模拟有关设计结果,验证是否与设计构想相符。

3.说明GAL的OLMC有什么特点,它怎样实现可编程组合电路和时序电路?具有可编程,可擦除,可长期保持数据的优点。

EDA技术期末试卷含答案

EDA技术期末试卷含答案

一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。

A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条件相或的逻辑电路C.三态控制电路A.QuartusII是Altera提供的FPGA/CPLD集成开发环境D.双向控制电路10.在VHDLB.Altera是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。

A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then MAX+plusIIC.是Altera前一代FPGA/CPLD集成开发环境QuartusII的更C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then11.下列那个流程是正确的基于VerilogD.QuartusII完全支持VHDL、的设计流程EDA软件的FPGA / CPLD设计流程 BA.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试.2以下工具中属于FPGA/CPLD开发工具中的专用综合器的是 BB.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试.Leonardo Spectrum C.Active HDL DQuartusII ModelSim A.B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;以下器件中属于3.Xilinx 公司生产的是 C/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试DMAXB.系列器件.原理图.AispLSI系列器件)语句的语句结构及语法规则语言中,下列对进程(PROCESS D .CXC9500系列器件.FLEX系列器件12.在VHDL 。

A 的描述中,正确的是4.以下关于信号和变量的描述中错误的是 B为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线A PROCESSA B.信号的定义范围是结构体、进程成后,等待下一次进程启动BC.除了没有方向说明以外,信号与实体的端口概念是一致的.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D B .5以下关于状态机的描述中正确的是.当前进程中声明的变量也可用于其他进程 B 型状态机其输出是当前状态和所有输入的函数A.Moore 13.下列语句中,不属于并行语句的是语句B.CASE MooreB.与型状态机相比,Mealy型的输出变化要领先一个时钟周期A.进程语句…语句…ELSE D.WHEN .元件例化语句型状态机其输出是当前状态的函数.CMealy C设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的D.以上都不对14.VHDL 下列标识符中, B 库是不合法的标识符。

eda技术考试题及答案

eda技术考试题及答案

eda技术考试题及答案一、选择题(每题2分,共20分)1. EDA技术中的"E"代表什么?A. ElectronicsB. EducationC. EngineeringD. Economy答案:A2. 在EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. HTMLD. SystemVerilog答案:C3. EDA工具主要用于什么领域?A. 软件开发B. 硬件设计C. 数据分析D. 网络管理答案:B4. 以下哪个不是EDA工具的功能?A. 逻辑综合B. 时序分析C. 代码调试D. 性能优化答案:C5. 在EDA技术中,FPGA代表什么?A. Field Programmable Gate ArrayB. Fixed Programmable Gate ArrayC. Flexible Programmable Gate ArrayD. Functional Programmable Gate Array答案:A6. 以下哪个是EDA技术中用于验证的工具?A. MATLABB. ModelSimC. AutoCADD. Photoshop答案:B7. EDA技术中的"A"代表什么?A. AnalysisB. AlgorithmC. ApplicationD. Architecture答案:A8. 在EDA技术中,以下哪个不是仿真工具?A. Xilinx ISEB. Cadence VirtuosoC. Synopsys VCSD. Mentor Graphics ModelSim答案:A9. EDA技术中,以下哪个是用于布局和布线的软件?A. Quartus IIB. Altium DesignerC. MATLABD. Eclipse答案:B10. 以下哪个不是EDA技术中的测试方法?A. 功能仿真B. 时序仿真C. 静态时序分析D. 动态时序分析答案:D二、填空题(每题2分,共20分)1. EDA技术的核心是______,它允许设计者在不实际制造硬件的情况下测试和验证设计。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第 1 页 共 6 页 《EDA技术》期中测试参考答案 一、选择题(每小题2分,共计20分。)

1、VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计当前工作库( )。 A.IEEE库 B.VITAL库 C.STD库 D.WORK工作库 2、VHDL的process进程语句是并行语句,它的内部是由( )语句构成的 A. 并行语句和顺序语句 B.顺序语句 C.并行语句 D.任意语句 3、元件例化语句的作用是( )。 A.描述元件模块的算法 B.改善并行语句及其结构的可读性 C.产生一个与某元件完全相同的一组并行元件 D.在高层次设计中引用前面已经设计好的元件或电路模块 4、在VHDL的并行语句之间,可以用( )来传递信息。严格讲是D,但选C也可,因为一般情况下并行语句之间是用信号来传递信息的。 A.常量(Constant) B.变量(Variable) C.信号(Signal) D. 变量和信号 5、以下关于VHDL中常量的声明正确的是( )。 A.Constant delay :Integer = 8 B.Constant delay:Integer := 8 C.Variable delay:Integer = 8 D.Variable delay:Integer := 8 6、在VHDL语言中,下列对时钟边沿检测描述中,错误的是( )。 A. if clk’event and clk = ‘1’ then B. if rising_edge(clk) then C. if clk’event and clk = ‘0’ then D.if clk’stable and not clk = ‘1’ then 7、下列关于VHDL标识符的说法正确的是( )(多选) A.标识符由26个英文字母和数字0~9以及下划线组成,其中字母不区分大小写。 B.标识符必须由英文字母开始,不连续使用下划线,且不能以下划线结束, C.标识符中可以包含空格 D.标识符不允许与VHDL中的关键字重合 8、下列对FPGA结构与工作原理的描述中,正确的是( )。

A.FPGA全称为复杂可编程逻辑器件 B.FPGA是基于乘积项结构的可编程逻辑器件 C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置 D.在Altera公司生产的器件中,MAX7000系列属FPGA结构 9、在VHDL中,关于变量与信号的区别,下列说法不正确的是( )。 A.信号用于作为进程中局部数据存储单元 B.在进程中,对信号赋值在进程结束时起作用;对变量的赋值则是立即生效 C.信号在整个结构体内的任何地方都能适用 第 2 页 共 6 页

D.变量和信号的赋值符号不一样 E.信号声明在子程序或进程的外部;而变量的声明在子程序或进程的内部 10、在元件例化(COMPONENT)语句中,用( )符号实现名称映射,将例化元件的端口名与连接的信号名关联起来。 A. = B.:= C.<= D.=> 二、名词解释,写出下列缩写的中文(或者英文)含义(每小题2分,共计10分。)

1、FPGA

2、VHDL 3、时序仿真 4、RTL 5、LUT 请大家参考课本。

三、简答题(每小题5分,共计10分。)

1、VHDL程序一般包含几个组成部分?每个部分的作用是什么? 答:(1)库、程序包的使用说明:使该库的指定程序包中所声明/定义的内容,在当前源程序中可见。 (2)实体描述:包括类属参数说明和端口说明,描述所设计电路的对外接口。 (3)结构体描述:描述所设计电路的内部行为、功能、结构。 (4)配置说明:描述所设计电路实体和结构体之间的连接关系,以及元件和实体-结构体对之间的连接关系。 2、并行信号赋值语句有几类?比较其异同。 答:三类:简单信号赋值、条件信号赋值、选择信号赋值。 共同点:赋值目标都是信号,都是并行语句,都相当于一个隐含的进程语句(信号赋值语句中的所有读入信号都作为该进程的敏感信号)。 不同点:简单信号赋值语句是最简单的并行语句,执行时直接将表达式的值赋给信号。 条件信号赋值语句的功能与if语句相同,在执行时按书写的先后顺序测试每个条件,一旦条件为真,则将表达式的值赋给信号。 选择信号赋值语句与case语句相似。当关键字with后的选择表达式的值发生变化时,就将该值和每个选择值进行比较,将选择值匹配的子句中的表达式的值赋给信号。 四、程序填空(每小题10分,共计20分。)

1、这是一个带有异步清零和使能端的在时钟脉冲上升沿触发的100进制计数器。试解释相关的语句。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all ; ① 库、程序包的使用说明 entity cnt100b is  实体声明 port( clk, rst, en : in std_logic; cq : out std_logic_vector(7 downto 0); -- 计数输出 cout: out std_logic); -- 进位输出 end entity cnt100b; architecture bhv of cnt100b is begin 第 3 页 共 6 页

process (clk, rst, en) is variable cqi : std_logic_vector(7 downto 0); ③定义一个标准逻辑矢量类型的变量,用于存储计数值

begin if rst = '1' then cqi := (others=> '0'); ④ 将变量cqi清零 else if clk‟event and clk=‟1‟ then⑤ 检测clk是否有上升沿 if en = '1' then if cqi(3 downto 0) < "1001" then cqi:= cqi+1; else if cqi(7 downto 4) < "1001" then cqi := cqi + 16; ⑥cqi的高4位加1,即计数值的十位BCD码加1.

else cqi := (others => '0'); ⑦计数值的十位BCD码清零 end if; cqi(3 downto 0):= (others => '0'); ⑧计数值的个位BCD码清零 end if; end if; cq <=cqi; ⑨ 通过端口信号cq将变量cqi表示的计数值信息传递到进程外部 end if; if cqi = “10011001” then ⑩ 如果变量cqi等于最大计数值99 cout <= '1'; else cout <= '0'; end if; end if; end process; end architecture bhv;

2、下面程序是8位分频器电路的VHDL描述,试补充完整。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE. STD_LOGIC_UNSIGNDE .ALL; ENTITY PULSE IS PORT ( CLK : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR (7 DOWNTO 0); FOUT : OUT STD_LOGIC ); END ENTITY PULSE; ARCHITECTURE one OF PULSE IS SIGNAL FULL : STD_LOGIC; BEGIN P_REG: PROCESS(CLK) --在进程内部不能定义一个信号,只能定义一个变量。 VARIABLE CNT8 : STD_LOGIC_VECTOR( 7 DOWNTO 0); BEGIN IF clk‟event and clk=‟1‟ THEN IF CNT8 = "11111111" THEN CNT8 : = D ; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8 FULL <= '1'; --同时使溢出标志信号FULL输出为高电平 ELSE CNT8 : = CNT8 +1; --否则继续作加1计数 FULL <= '0'; --且输出溢出标志信号FULL为低电平 END IF; END IF; END PROCESS P_REG; 第 4 页 共 6 页

P_DIV: PROCESS( FULL‟event and FULL =‟1‟ ) –一般将进程中做读取操作的信号列到敏感信号列表中 VARIABLE CNT2 : STD_LOGIC; BEGIN IF FULL'EVENT AND FULL = '1' THEN CNT2 : = NOT CNT2 ; --如果溢出标志信号FULL为高电平,D触发器输出取反 IF CNT2 = '1' THEN FOUT <= '1'; ELSE FOUT <= '0'; END IF; END IF; END PROCESS P_DIV; END; 五、程序改错(共计10分。)

请仔细阅读七段译码显示器程序,找出错误语句,并给出正确的语句。 1 library ieee; 2 use ieee.std_logic_1164.all; 3 entity decoder47 is 4 port(lt,ibr,ib_ybr:in bit; 5 a: in std_logic_vector(3 downto 0); 6 y:out std_logic_vector(6 downto 0);); --将最后一个“)”前的“;”去掉。 7 end decoder47; 8 architecture art of decoder is --将decoder改为decoder47。 黄色高亮显示的三个地方的实体名必须一样 9 begin 10 process(lt,ibr,ib_ybr,a) 11 signal s: std_logic_vector(3 downto 0); --将signal改为ariable 再次强调在进程中不能定义信号,只能定义变量 12 begin 13 s<=a(3)&a(2)&a(1)&a(0); --将“<=”改为“:=” 要注意变量和信号的赋值符号是不一样的。 14 if lt='0' and ib_ybr='1' then 15 y<="1111111"; --检查七段显示管是否正常 16 elsif ibr='0' and a="0000" then 17 y<="0000000"; 18 else 19 case s is 20 when"0000"=>y<="1111110"; --7E 21 when"0001"=>y<="0110000"; --30 22 when"0010"=>y<="1101101"; --6D 23 when"0011"=>y<="1111001"; --79 24 when"0100"=>y<="0110011"; --33 25 when"0101"=>y<="1011011"; --5B 26 when"0110"=>y<="0011111"; --5F 27 when"0111"=>y<="1110000"; --70 28 when"1000"=>y<="1111111"; --7E 29 when"1001"=>y<="1110011"; --7B 30 when"1010"=>y<="0001101"; --0D 31 when"1011"=>y<="0011001"; --19 32 when"1100"=>y<="0100011"; --23 32 when"1101"=>y<="1001011"; --4B 33 when"1110"=>y<="0001111"; --0F 34 when"1111"=>y<="0000000"; 35 when others=>null; 36 end case; 37 end if;

相关文档
最新文档