计组实验报告范文-3存储器和IO扩展实验

计组实验报告范文-3存储器和IO扩展实验综合实验报告

(2022--2022年度第一学期)

名称:计算机组成原理综合实验题目:存储器和I/O扩展实验院系:

计算机系班级:学号:学生姓名:指导教师:设计周数:一周

成绩:

日期年月

一、目的与要求实验目的:

(1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差

异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。

(2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案;(3)了解静态存储器系统使用的各种控制信号之间正常的时序关系;

(4)了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、

写操作;(5)加深理解存储器部件在计算机整机系统中的作用;(6)学

习串行口的正确设置和使用。实验要求:

(1)实验之前认真预习,明确实验目的和具体内容,设计好扩展8K

字存储器容量的线路图,标明数据线和控制信号的连接关系,做好实验之

前的必要准备;

(2)想好实验步骤,明确通过实验到底可以学习哪些知识,想想怎

么样有意识的提高教学实验的真正效果;

(3)在教学实验过程中,要爱护教学实验设备和用到的辅助仪表,

记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解

决问题的办法,有意识的提高自己的创新思维能力;

(4)实验之后认真写出实验报告,总结自己再实验过程中的收获,

善于总结和发现问题。二、实验正文

1.主存储器实验内容

1.1

实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机

读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布)答:ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM

存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯

片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址

空间分配关系是:0-1777h用于第一组ROM,固化监控程序,2000-2777h

用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的

数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存

容量(存储器的字、位扩展)的教学实验

1.2

扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读

写时的特殊要求

答:第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯

片实现。第二,当存储器选用58C65ROM芯片时,它属于电可擦出的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,

这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入

16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如

几百个微秒,可以通过运行完成等待功能的子程序来加以保证。对

58C65ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平,使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读

58C65ROM芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;

对58C65ROM芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65ROM芯片的维持时间要比写RAM芯片的操作时间长得多。为了防止

对58C65ROM芯片执行误写操作,可通过把芯片的使能控制引脚(/OE)接

地来

保证,或者确保读写命令信号(/WE)恒为高电平。

1.3

1.4

修改延时子程序,将其延时改短,可将延时子程序中R3的内容赋成

00FF或0FFF等,再看运行结果。思考其原因。延时子程序中R3内容为

多少时是可以对只读芯片正常写入的临界值,一旦低于该值,写入会出错?思考是否在所有计算机上临界值都一样?为什么?

在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命

令则有时不正确;

2.1

COM2口在使用之前需要做什么,怎么实现

答:使用COM2口工作时,需要为COM2口提供正常工作所需要的控制

信号和数据;另外,还需要为其分配数据口地址和控制口地址。本教学机,已将COM2口的C/D与地址总线的最低位相连,其片选信号未连接,只引

出一个插孔,实验时,应该为扩展I/O选定一个地址,将该插孔与标有

“I/O/CS”的7个孔中的一个相连。

2.2

答:8251是一个可编程的通用串行通信接口芯片。8251编程时,CPU

发来的控制命令有:通信方式选择命令和工作命令,8251向CPU送去个

状态字命令。每次对8251复位后(即按一次RESET按键),都需要对其

进行初始化,然后再进行正常的数据传输;复位后,只能对其进行一次初

始化,多次初始化将导致串口工作不正常。(1)、系统中的8251芯片系统装有一片8251芯片,并和标准RS-232C接口连接好,如下图所示。

该电路用来完成同PC微机的联机以及串行监控操作的实现,其端口

地址为:数据口:C0H控制口:C1H

(2)、实验单元中的8251

这片8251芯片用于各种串行口实验,连线见下图,其端口地址为:

数据口:80H控制口:81H

2.3

2.4

编写程序,完成下述功能:从COM1口接收数据,发送到与COM2口相

连的PC机上回显;给出正确程序

给出完成两台教学机的双向通讯功能的正确程序

三、综合实验总结

1.实验难点

2.心得体会

计组实验报告范文-3存储器和IO扩展实验

计组实验报告范文-3存储器和IO扩展实验综合实验报告 (2022--2022年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验院系: 计算机系班级:学号:学生姓名:指导教师:设计周数:一周 成绩: 日期年月 一、目的与要求实验目的: (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差 异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案;(3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、 写操作;(5)加深理解存储器部件在计算机整机系统中的作用;(6)学 习串行口的正确设置和使用。实验要求: (1)实验之前认真预习,明确实验目的和具体内容,设计好扩展8K 字存储器容量的线路图,标明数据线和控制信号的连接关系,做好实验之 前的必要准备; (2)想好实验步骤,明确通过实验到底可以学习哪些知识,想想怎 么样有意识的提高教学实验的真正效果;

(3)在教学实验过程中,要爱护教学实验设备和用到的辅助仪表, 记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解 决问题的办法,有意识的提高自己的创新思维能力; (4)实验之后认真写出实验报告,总结自己再实验过程中的收获, 善于总结和发现问题。二、实验正文 1.主存储器实验内容 1.1 实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机 读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布)答:ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM 存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯 片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址 空间分配关系是:0-1777h用于第一组ROM,固化监控程序,2000-2777h 用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的 数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存 容量(存储器的字、位扩展)的教学实验 1.2 扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读 写时的特殊要求 答:第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯 片实现。第二,当存储器选用58C65ROM芯片时,它属于电可擦出的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,

计算机组成原理存储器实验报告

福建农林大学计算机与信息学院信息工程类实验报告 级 2007专业:系:年级:计算机系计算机科学与技术 计算机组成原理实验课程:姓名:学号:日月11 2009实验设备号: 1 实验时间:年5实验室号:__ 成绩:指导教师签字: 存储器实验实验二 .实验目的和要求1RAM工作特性。1.掌握静态随机存储器RAM的数据读写方法。2.掌握静态随机存储器 2.实验原理实验中的双端口静态存储所示,2-1实验所用的半导体双 端口静态存储器电路原理如图)和控-I/O-A)、数据线(I/O器的左端口和右端口,它们分别具有各自独立的地址线(A7900结构图。在实验系统的大多数实中的7130)。它的结构参考附录制线(R/W,CE,OE,BUSY1控制线,使用方法与通用的单端口静态存该芯片仅使用了右端口的数据线、地址线、验中,右端口数本节实验中左、储器相同;在做与流水相关的实验中同时用到了它的左、右端口。LI08LI01—)给出。地址灯据线接至数据总线,左、右端口地址由地址锁存器(74LS273)连至数据总74LS245与地址总线相连,显示地址内容。输入单元的数据开关经一三态门(线,分别给出地址和数据。 2-1 图存储器实验原理 地址总线为8位,接入IDT7130的地址AL7—AL0与AR0—AR7,将IDT7130的高两位AR8-AR9接地,所以其实际容量为256字节。IDT7130两个端口分别有三个独立的控制线,如右边有:CER(右端口片选线)、OER(右端口读线)、R/WR(右端口写线)。本实验中将左、右

端口的读线OER常接地,在此情况下,当CER=0、R/WR=0时进行右端口写操作,CER=0、R/WR=1时进行右端口读操作,其写时间与T3脉冲宽度一致。原理图中右端口的地址线AR8—AR9接地,其访问实际容量为256字节。同时由于左端口的写信号R/WL常接地=高电平,所以左端口的写功能被封锁了,故实验时输入数据从右端口写入,从左端口读出。实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插针中,其它电平控制信号由开关单元的二进制开关给出,其中SW_G为低电平有效,LDAR为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZY15Comp12BB计算机组成原理教学实验箱一台,排线若干。 4.操作方法与实验步骤 1.形成时钟脉冲信号T3,具体接线方法和操作步骤如下: ①将S信号单元中的TS3和T3用排线相连。 ②将控制台单元中的两个二进制开关“SP03”设置为“STEP”状态、“SP04”设置为“RUN”状态(当“SP03”开关设置为“RUN”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3的输出为连续的方波信号。当“SP03”开关设置为“STEP”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。) 2.按图3-2连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分) 图3-2 存储器实验接线图

《计算机组成原理》学生实验报告

《计算机组成原理》 学 生 实 验 报 告 (2011~2012学年第二学期) 专业:信息管理与信息系统班级: A0922 学号:10914030230 姓名:李斌

目录 实验准备------------------------------------------------------------------------3 实验一运算器实验-----------------------------------------------------------7 实验二数据通路实验-------------------------------------------------------13 实验三微控制器实验--------------------------------------------------------18 实验四基本模型机的设计与实现------------------------------------------22

实验准备 一、DVCC实验机系统硬件设备 1、运算器模块 运算器由两片74LS181构成8位字长的ALU。它是运算器的核心。可以实现两个8位的二进制数进行多种算术或逻辑运算,具体由74181的功能控制条件M、CN、S3、S2、S1、S0来决定,见下表。两个参与运算的数分别来自于暂存器U29和U30(采用8位锁存器),运算结果直接输出到输出缓冲器U33(采用74LS245,由ALUB信号控制,ALUB=0,表示U33开通,ALUB=1,表示U33不通,其输出呈高阻),由输出缓冲器发送到系统的数据总线上,以便进行移位操作或参加下一次运算。 进位输入信号来自于两个方面:其一对运算器74LS181的进位输出/CN+4进位倒相所得CN4;其二由移位寄存器74LS299的选择参数S0、S1、AQ0、AQ7决定所得。触发器的输出QCY就是ALU结果的进位标志位。QCY为“0”,表示ALU结果没有进位,相应的指示灯CY灭;QCY为“1”,表示ALU结果有进位,相应的指示灯CY点亮。 2、移位寄存器模块 采用74LS299(U34),它具有并行接数、逻辑右/左移、保持、带进位右/左移位运算等功能,具体由S0,S1,M,DS0,DS7决定。T4是它的工作脉冲,正跳变有效。

计算机组成原理实验报告_存储系统设计实验

实验四存储系统设计实验 一、实验目的 本实训项目帮助大家理解计算机中重要部件—存储器,要求同学们掌握存储扩展的基本方法,能设计MIPS 寄存器堆、MIPS RAM 存储器。能够利用所学习的cache 的基本原理设计直接相联、全相联,组相联映射的硬件cache。 二、实验原理、内容与步骤 实验原理、实验内容参考: 1、汉字字库存储芯片扩展设计实验 1)设计原理 该实验本质上是8个16K×32b 的ROM 存储系统。现在需要把其中一个(1 号)16K×32b 的ROM 芯片用4个4K×32b 的芯片来替代,实际上就是存储器的 字扩展问题。a) 需要4 片4个4K×32b 芯片才可以扩展成16K×32b 的芯片。 b) 目标芯片16K个地址,地址线共14 条,备用芯片12 条地址线,高两位(分 线器分开)用作片选,可以接到2-4 译码器的输入端。c) 低12 位地址直接连 4K×32b 的ROM 芯片的地址线。4个芯片的32 位输出直接连到D1,因为同时 只有一个芯片工作,因此不会冲突。 芯片内数据如何分配: a) 16K×32b 的ROM 的内部各自存储16K个地址,每个地址里存放4个字节数 据。地址范围都一样:0x0000~0x3FFF。 b) 4个4K×32b 的ROM,地址范围分别是也都一样:0x000~0xFFF,每个共有 4K个地址,现在需要把16K×32b 的ROM 中的数据按照顺序每4个为一组分 为三组,分别放到4个4K×32b 的ROM 中去。HZK16_1 .txt 中的1~4096 个数据放到0 号4K 的ROM 中,4097~8192 个数据放到 1 号4K 的 ROM 中,8193~12288 个数据放到2 号4K 的ROM 中,12289~16384个 数据放到3 号4K 的ROM 中。 c) 注意实际给的16K 数据,倒数第二个4K(8193~12288 个数据)中部分 是0,最后4K(12289~16384 数据)全都是0。因此在ROM 4K_3 号后部分 都是0,ROM 4K_3 中都是0。 2)电路设计 地址线连接

存储器扩展实验报告

存储器扩展实验报告 存储器扩展实验报告 引言: 存储器是计算机系统中至关重要的组成部分,对于数据的存储和读取起着至关重要的作用。在计算机科学领域中,存储器扩展是一项重要的技术,可以提高计算机系统的性能和容量。本实验旨在通过对存储器扩展的探索和实践,深入了解存储器的工作原理和扩展方法。 一、存储器的基本原理 存储器是计算机中用于存储和检索数据的硬件设备。它可以分为主存储器和辅助存储器两种类型。主存储器是计算机系统中最重要的存储器,它用于存储正在运行的程序和数据。辅助存储器则用于存储大量的数据和程序,常见的辅助存储器包括硬盘、光盘和闪存等。 二、存储器的扩展方法 存储器的扩展方法有很多种,本实验主要探索两种常见的扩展方法:内存条扩展和虚拟内存扩展。 1. 内存条扩展 内存条扩展是通过增加计算机内部的内存条数量来扩展存储器容量的方法。在实验中,我们使用了两根相同规格的内存条,将其插入计算机主板上的内存插槽中,从而增加了系统的内存容量。通过这种扩展方法,我们可以提高计算机的运行速度和处理能力。 2. 虚拟内存扩展 虚拟内存是一种将计算机内存和硬盘空间结合起来使用的技术。在实验中,我

们通过调整计算机系统的虚拟内存设置,将部分数据和程序存储在硬盘上,从 而扩展了存储器的容量。虚拟内存的扩展方法可以有效地提高计算机的性能和 运行效率。 三、实验过程与结果 在实验中,我们首先进行了内存条扩展的实践。通过将两根内存条插入计算机 主板上的内存插槽中,我们成功地扩展了计算机的内存容量。在进行实际操作时,我们注意到计算机的运行速度明显提高,程序的加载和执行时间也大大缩短。 接着,我们进行了虚拟内存扩展的实验。通过调整计算机系统的虚拟内存设置,我们将部分数据和程序存储在硬盘上。在实际操作中,我们发现虚拟内存的扩 展使得计算机可以同时运行更多的程序,且不会出现内存不足的情况。这大大 提高了计算机的运行效率和多任务处理能力。 四、实验总结与心得 通过本次实验,我们深入了解了存储器的工作原理和扩展方法。存储器扩展是 提高计算机性能和容量的重要技术,对于计算机系统的优化和升级起着至关重 要的作用。通过实践和实验,我们不仅加深了对存储器扩展的理解,还提高了 实际操作的能力。 在今后的学习和工作中,我们将继续深入研究存储器扩展技术,并探索更多的 存储器扩展方法。通过不断实践和创新,我们相信能够为计算机系统的性能提 升和容量扩展做出更大的贡献。 结语: 存储器扩展是计算机科学领域中的重要技术,本实验通过对存储器扩展的探索

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用. 二、实验要求 掌握通用寄存器R3~R0的读写操作. 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效.准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2—3-3 通用寄存器数据通路 四、实验内容 1.实验连线 连线信号孔接入孔作用有效电平

2.寄存器的读写操作 ①目的通路 当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表. 通用寄存器“手动/搭接”目的编码 ②通用寄存器的写入 通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下: 通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下: ③源通路 当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表. 通用寄存器“手动/搭接”源编码

④ 通用寄存器的读出 关闭写使能,令K18(RWR )=1,按下流程分别读R0、R1、R2、R3。 五、实验心得 通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。 实验2 运算器实验 一、实验目的 掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能. 二、实验要求 完成算术、逻辑、移位运算实验,熟悉ALU 运算控制位的运用. 三、实验原理 实验中所用的运算器数据通路如图2-3— 1所示。ALU 运算器由CPLD 描述。运算器的输出FUN 经过74LS245三态门与数据总线相连,运算源寄存器A 和暂存器B 的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O 输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告 一、实验目的 本实验旨在通过实践了解存储器的基本原理和实现方式,掌握存储器的读写操作。 二、实验原理 存储器是计算机中用于存储数据和程序的设备,其按照不同的存取方式可分为随机存储器(RAM)和只读存储器(ROM)。其中RAM是一种易失性存储器,其存储的数据会随着电源关闭而丢失;而ROM则是一种非易失性存储器,其存储的数据在电源关闭后仍能保持不变。 本实验使用的是一个8位RAM,其具有256个存储单元,每个存储单元可以存储8位数据。RAM可以进行读写操作,读操作是将存储单元中的数据读取到CPU中,写操作是将CPU中的数据写入到存储单元中。存储单元的地址是由地址线来控制的,本实验中使用的是8位地址线,因此可以寻址256个存储单元。 三、实验仪器 本实验使用的主要仪器有:存储器板、八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等。

四、实验过程 1. 准备工作:将存储器板与开发板进行连接,并将八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等连接到存储器板上。 2. 设置地址:使用地址选择开关来设置需要读写的存储单元的地址。 3. 写操作:将需要存储的数据通过八位开关输入到CPU中,然后将CPU中的数据通过写信号写入到存储单元中。 4. 读操作:将需要读取的存储单元的地址通过地址选择开关设置好,然后通过读信号将存储单元中的数据读取到CPU中。 5. 显示操作:使用八位数码管或八位LED灯来显示读取到的数据或写入的数据。 6. 重复上述操作,进行多次读写操作,观察存储器的读写效果和数据变化情况。 五、实验结果 通过本次实验,我们成功地进行了存储器的读写操作,并观察到了存储器中数据的变化情况。在实验过程中,我们发现存储器的读写速度非常快,可以满足计算机的高速运算需求。同时,存储器的容量也非常大,可以存储大量的数据和程序,为计算机提供了强大的

计算机组成原理实验报告

1. 寄存器 五、实验总结 按照实验要求进行连接和操作,对通用寄存器组进行了数据的写入和读出,两组数据完全对照,得到了预期效果,说明了存入数据的正确性,在整个过程中也对寄存器组的构成和硬件电路有了更深层次的理解。 2. 运算器 五、实验总结 基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的 3. 存储器 五、实验总结 按照实验要求连接器材设备元件,按照给定步骤进行实验操作。通过向静态RAM中写入数据并读出数据,在INPUT单元输入数并存入地址寄存器,再向相应的地址单元存入数,验证读出数据时,只需再INPUT单元输入想要读出单元的地址,再通过片选端CE读出存储单元的数据,其中We=0是控制写端,WE=1控制读,CE低电平有效。实验过程遇到一些问题,对实验容不是很熟,有待提高。

4. CPU与简单模型机设计实验 一、实验目的 (1) 掌握一个简单CPU的组成原理。 (2) 在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3) 为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU 由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图5-1-1 所示。这个CPU 在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU 必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD 芯片中。CLR 连接至CON 单元的总清端CLR,按下CLR 按钮,将使PC 清零,LDPC 和T3 相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU总线上的数据打入PC。

计算机组成原理与汇编实验报告

计算机组成原理与汇编实 验报告 姓名: 学号: 学院:信息科学与工程学院

班级: 实验1 存储器实验 实验目的 ⏹掌握静态存储随机存储器RAM的工作特性 ⏹掌握静态存储随机存储器RAM的读写方法 实验设备 74LS273(一片),静态存储器MEMORY 6116(一片),与门(一片),与非门(一片),单脉冲(一片),开关若干,灯泡若干 实验原理 在微机系统中,常用的静态RAM 有6116、6264、62256 等。在本实验中使用的是6116。6116 为2K╳8 位的静态RAM,其逻辑图3.1如下: 图3.1 6116逻辑图

其中A0~10 为11 根地址线,I/O0~7 为8 根数据线,CS 为片选端,OE 为数据输出选通端,WR 为写信号端。其工作方式见下表3-1: 表3-1工作方式表 实验所用的半导体静态存储器电路原理如图3.2 所示,实验中的静态存储器一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯AD0—AD7 与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

图3.2 存储器实验原理图 因地址寄存器为8 位,接入6116 的地址A7—A0,而高三位A8—A10 接地,所以其实际容量为256 字节。6116 有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0 时进行写操作。本实验中将OE 常接地,在此情况下,当CE=0、WE=0 时进行 读操作,CE=0、WE=1 时进行写操作,其写时间与T3 脉冲宽度一致。控制信 号SW-B 为低电平有效,控制信号LDAR 为高电平有效。 实验步骤

计组实验报告

计组实验报告 【实验名称】:基于MIPS的Cache设计与实现 【实验目的】:通过设计、模拟和测试基于MIPS的Cache,理解和掌握Cache的基本原理和实现方法,加深对计算机组成原理的理解和应用。 【实验设备】:Xilinx ISE Design Suite 14.7、Verilog HDL仿真工具、Mars模拟器。 【实验原理】 Cache是计算机系统中重要的存储器层次结构,它可以提高访问速度,降低访问延迟。Cache是一种由高速存储器和控制电路组成的存储器,它的作用是缓存主存中最近使用过 的指令和数据,当下一次需要使用这些指令和数据时,可以直接从Cache中获取,而不需 要访问主存,从而提高访问速度。计算机系统中的Cache存储器既可以用硬件实现,也可 以用软件实现。 MIPS Cache包括指令Cache和数据Cache两个部分。指令Cache用于存储CPU需要的指令,而数据Cache用于存储CPU需要的数据。Cache中的每一个存储块叫做一个Cache 行,每一个Cache行包括若干字块,每一个字块包括若干字节。Cache行的大小一般是2^n 个字节。 Cache使用一种叫做Cache命中的技术,通过判断当前CPU需要的数据是否在Cache 中来确定是否需要访问主存。如果当前CPU需要的数据在Cache中,则称为Cache命中, 可以直接从Cache中获取数据;如果当前CPU需要的数据不在Cache中,则称为Cache未 命中,需要从主存中获取数据。 Cache有三种常见的替换算法:随机替换算法、先进先出(FIFO)替换算法和最近最少使用(LRU)替换算法。随机替换算法是最简单的方法,它实现起来比较简单,但是效率不高。FIFO替换算法是一种比较简单的替换算法,它在实现的时候需要维护一个队列来保证替换最早进入Cache的数据,但是这种算法无法适应程序的访存局部性。LRU替换算法是 一种比较复杂的替换算法,它需要维护一个使用时间序列来记录各数据块被使用的时间, 当需要替换时,选择使用时间最旧的数据块替换掉。 1. 设计MIPS Cache的结构,并根据需要进行参数配置。 2. 在Verilog HDL工具中完成MIPS Cache的设计。 3. 仿真验证Cache的正确性,测试Cache在随机读写数据时的性能表现。 4. 在Mars模拟器中,设计一个简单的程序来验证Cache的读取和存储功能。

计算机组成原理实验报告

实验一8位程序计数器PC[7:0]的设计 实验要求: 1.分别用图形方式和Verilog HDL语言设计8位程序计数器,计数器带有复位,计数,转移功能。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用图形方式设计实现8位程序计数器,用到了两个74LS161四位十六进制计数器,主要步骤是两个四位十六进制计数器的串联,低四位计数器的进位端RCO连到高四位计数器的进位使能端ENT,然后连上reset、clk、ir[7:0]、t[1:0]、pc[7:0]、rco等输入输出信号,最后加上转移控制逻辑即可。注意两个十六进制计数器是同步的,具体参见PC_8bit.gdf文件。 2.编译通过,建立波形仿真文件,设置输入信号参数。注意在一张图中同时实现复位(reset低位有效)、计数、转移功能,最后加上一些文字注释即可,具体参见PC_8bit.scf文件。 3.用Verilog HDL语言设计实现8位程序计数器。在已经实现.gdf文件的基础上使用库函数形式是很容易编写出.v文件的,不过学生选择了行为描述方式实现,因为后者更具有通用性,依次实现8位程序计数器的复位、计数、转移功能即可,具体参见PC_8bit.v文件。 4.编译仿真类似上述步骤2。 实验小结: 1.这是计算机组成原理的第一个实验,比较简单,按照实验要求即可完成实验。通果这次实验,我对Max+Plus软件的使用方法和Verilog HDL语言编程复习了一遍,为后面的实验打好基础。 实验二CPU运行时序逻辑的设计 实验要求: 1.用Verilog HDL 语言设计三周期时序逻辑电路,要求带复位功能,t[2:0]在非法错误状态下能自动恢复。(比如说110恢复到001)。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用Verilog HDL 语言设计实现带复位和纠错功能的三周期时序逻辑电路。输入clk外部时钟信号和reset复位信号(低位有效),输出ck内部时钟信号和三周期信号t[2:0]。利用两级3位移位式分频逻辑实现,具体参见cycle_3.v文件。 2.编译通过,建立波形仿真文件,设置clk外部时钟信号和reset复位信号,Simulate 即可输出实验要求中显示的波形。 实验小结: 1.刚做这个实验的时候不知道CPU运行时序逻辑设计的真实用途,在进一步学习了计算机组成原理的理论知识,做cpu4实验后才知道是用来由外部时钟信号clk产生内部时钟信号ck以及三周期信号t[2:0]的。刚完成本次实验的时候未添加三周期信号t[2:0]的自动功能,后来完成cpu4后补上了。 实验三静态存储器的设计与读写验证 实验要求: 1.设计一个SRAM存储器,地址和数据都是8位,存储容量是256个字节。 2.采用异步的时序逻辑设计方式,数据是双向的,输入输出不寄存,存储器的地址也不寄存。 3.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用图形文件方式设计实现SRAM,用到了库文件lpm_ram_io。主要步骤是在Max+Plus下调用库

计组实验报告

计算机组成原理实验报告 课程名称计算机组成原理 学院计算机学院 专业班级 学号 学生 指导教师 2016 年 6 月 8 日 实验一、根底汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP+教学实验系统监控命令的用法;

2、学习和了解TEC-XP+教学实验系统的指令系统; 3、学习和了解TEC-XP+教学实验系统汇编程序设计。 ...... 二、实验设备与器材: TEC-XP+教学实验系统和仿真终端软件PCEC; PC机 三、实验容: 1.学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2.学习使用WINDOWS界面的串口通讯软件。 3.使用监控程序的R命令显示/修改存放器容、D命令显示存储器容、E命令修改存储器容; 4.使用A命令写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行该程序,用T、P命令单步运行并观察程序单步执行情况; 四、实验步骤: 1、准备一台串口工作良好的PC机; 2、将TEC-XP放在实验台上,翻开实验箱的盖子,确定电源处于断开状态; 3、将黑色电源线一端接220V交流电源,另一端插在TEC--XP试验箱电源插座上; 4、取出通讯线,将通信线的9芯插头接在试验箱的串口“COM1〞或“COM2〞上,另一端接到PC机的串口上; 5、将TEC-XP实验系统左下方的6个黑色控制器开关置为001100,,控制开关的功能在开关上、下方有标识;开关拨向上方表示“1〞,拨向下方表示“0〞,“x〞表示任意,其他实验一样; 6、翻开电源,船形开关和5v电源指示灯亮。 7、在PC机上运行PCEC16.EXE文件,直接回车。 8、按一下“RESET〞按键,再按一下“stat〞按键在主机上显示: TEC—2000 CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab,Tsinghua University Programmed by Jason He> 五、实验结果: 例一:

计组实验报告

计算机组成原理实验报告 实验一寄存器组成实验 一、实验目的 (1) 熟悉D 触发器的功能及使用方法。 (2) 掌握寄存器文件的逻辑组成及使用方法。 、实验内容 (1) 掌握Quartus II 的使用方法,能够进行数字电路的设计及仿真。 (2) 验证Quartus II 所提供D 触发器的功能及使用方法。 (3) 设计具有1个读端口、 1个写端口的寄存器文件,并进行存取操作仿真 /验证。 三、实验原理及方案 Quartus II 提供了多种类型的触发器模块,如 D 触发器、T 触发器等。固定特性的触发 器模块有不同的型号,参数化的触发器模块有 lpm_ff 、lpm_dff 、lpm_tff 等。D 触发器常 来构建寄存器。本次实验我们用 Quartus II 中提供的8为D 触发器模块,实现了一个8疋bits 的寄存器组,因此,操作地址均为 3位,数据均为8位。由于要求读写端口分离,因此,读 操作的相关引脚有地址 raddr[2..0]、数据输出 q[7..O],写操作的相关引脚有地址 waddr[2..O]、数据输入data[7..O]、写使能wen 。其中,省略读使能信号可以简化控制, 即数据输出不受限制。 寄存器文件通过写地址 waddr[2..O]、写使能wen 信号来实现触发器的写入控制,通过 读地址raddr[2..O] 信号来控制触发器的数据输出选择。其连接电路原理如图所示。 寄存器文件的组成 写使能 数据输岀 写 译码器 & 读 选择器

则由此,可在Quartus II 中连接原理图

四、实验结果 Vane 卩 ID.q 719 33. p M 叩£ 12 DE is.on J LIE A 1 r --------- L l*J D 9肚n * 71 1; 6 4* K J n 首 卜 iii q X E ;c TT ID MJJ 9 U> r 五 、 小结 通过此次实验,我们学会了 Q u a r t u s I I 的原理图的构造方法,以及仿真方法,并且使用 lpm_dff 作为三态门,控制数据的输入,并且在输出时,用 lpm_mux 选择每个寄存器的数据 输出。 最后,在本次实验中,我们重新巩固了课堂学习的内容, 也对寄存器加深了了解, 相信我们 会通过实验在计组的学习道路上越走越远。 实验二运算器组成实验 一、实验目的 (1) 熟悉加/减法器的功能及使用方法。 (2) 掌握算术逻辑部件(ALU)的功能及其逻辑组成。 (3) 加深对运算器工作原理的理解。

西安交通大学计算机组成原理实验报告

西安交通大学 计算机组成原理实验报告 姓名:*** 班级:物联网** 学号:

实验一存储器的访问与实现 一、实验目的 1、理解计算机主存储器的分类及作用; 2、掌握ROM、RAM的读写方法。 二、实验原理 存储器按存取方式分,可分为随机存储器和顺序存储器。如果存储器中的任何存储单元的内容都可随机存取,称为随机存储器,计算机中的主存储器都是随机存储器。如果存储器只能按某种顺序存取,则称为顺序存储器,磁带是顺序存储器,磁盘是半顺序存储器,它们的特点是存储容量大,存取速度慢,一般作为外部存储器使用。如果按存储器的读写功能分,有些存储器的内容是固定不变的,即只能读出不能写入,这种存储器称为只读存储器(ROM);既能读出又能写入的存储器,称为随机读写存储器(RAM)。 实际上真正的ROM基本上不用了,用的是光可擦除可编程的ROM(EPROM)和电可擦除可编程的ROM(EEPROM)。EEPROM用的越来越多,有取代EPROM之势,比如容量很大的闪存(FLASH)现在用的就很广泛,常说的U盘就是用FLASH做的。按信息的可保存性分,存储器可分为非永久性记忆存储器和永久性记忆存储器。ROM、EPROM、EEPROM都是永久记忆存储器,它们断电后存储内容可保存。RAM则是非永久性记忆存储器,断电后存储器中存储的内容丢失。 随机读写存储器类型 随机存储器按其元件的类型来分,有双极存储器和MOS存储器两类。在存取速度和价格两方面,双极存储器比MOS存储器高,故双极存储器主要用于高速的小容量存储体系。在MOS存储器中,根据存储信息机构的原理不同,又分为静态随机存储器(SRAM)和动态随机存储器(DRAM)。静态随机存储器采用双稳态触发器来保存信息,只要不断电,信息就不会丢失;动态随机存储器利用记忆电容来保存信息,使用时只有不断地给电容充电才能使信息保持。静态随机存储器的集成度较低,功耗也较大;动态随机存储器的集成度较高,功耗低。现在计算机中,内存容量较大,常由动态随机存储器构成。 静态随机存储器 静态存储器由存储体、地址译码电路、读写电路和控制电路组成。一个4096×1位的SRAM的结构框图如图6-37所示。图中,A0~A11为地址线,用来寻址存储器中的某一个单元。DIN、DOUT为数据线,实现数据的输入、输出。W//R为读写控制信号线,用来实现读写操作控制。/CS为片选信号。

计算机组成原理实验报告册

实验一监控程序与汇编实验 实验时间:第周星期年月日节 实验室:实验台: (以上部分由学生填写,如有遗漏,后果由学生本人自负) 1、实验目的 1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。 2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。 3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。 2、实验平台 硬件平台:清华大学TEC-XP实验箱的MACH部分 软件平台:监控程序pcec16。exe、PC端指令集仿真软件 3、实验要求 1)学习联机使用TEC-XP 教学实验系统和仿真终端软件PCEC16。com; 2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容; 3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。 **代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩 4、操作步骤及实验内容 1)实验箱功能开关设置及联机操作: 1. 将实验箱COM1口与PC机相连; 2. 设置功能状态开关为00110; 3. 于PC端运行Pcec16.exe; 4. 按RESET,START键,若PC端出现如下输出(如图1.1所示),则操作成功; 图1.1 2)仿真软件相关操作:

1。在项目文件夹找到tec2ksim.exe并启动; 图2。1 2。点击文件—启动监控程序; 图2。2 4。若PC端出现如下输出(如图2。3所示),则操作成功;

计组实验报告

计组实验报告 LT

计算机组成原理实验报告 课程名称计算机组成原理 学院计算机学院 专业班级 学号 学生姓名 指导教师 2016 年 6 月 8 日

实验一、基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP+教学实验系统监控命令的用法; 2、学习和了解TEC-XP+教学实验系统的指令系统; 3、学习和了解TEC-XP+教学实验系统汇编程序设计。 ...... 二、实验设备与器材: TEC-XP+教学实验系统和仿真终端软件PCEC; PC机 三、实验内容: 1.学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2.学习使用WINDOWS界面的串口通讯软件。 3.使用监控程序的R命令显示/修改寄存器

实验二、脱机运算器实验 一、实验目的: 1、深入了解AM2901运算器的功能与具体用法。 2、深化运算器部件的组成、设计、控制与使用等知识。 二、实验设备与器材: TEC-XP+教学实验系统 三、实验说明和原理: 脱机运算器实验,是指让运算器从数学计算机整机中脱离出来,此时,他的全部控制与操作均需通过两个12位的卫星开关来完成,这就谈不上执行指令,只能通过开关,案件控制数学机的运算器完成指定的运算功能,并通过指示灯观察运算器结果。 下面先把前边几讲过的,与该实验直接有关的结论性内容汇总如下。一、12位微型开关的具体控制功能分配如下: A口,B口地址:送给AM2901器件用于选择源与目的操作数的寄存器编号: I8-I0:选择操作数来源,运算操作功能,选择操作数处理结果和运算器输出内容的3组3位的控制码:SCI,SSH和SST:用于确定运算器最低位的进位输入,移位信号的入/出和怎样处理AM2901产生的状态标志位的结果。 二、开关位置说明: 做脱机运算器实验时,要用到提供24位控制信号的微动开关和提供16位数据的拔动开关。微动开关是红色的,一共有三个,一个微动开关可以提供12位的控制信号,三个开关分别标有SWI1 micro switch 、SW2 micro switch 和SW3 micro switch;数据开关是黑色的,左边的标有SWH的是高8位,右边的标有SWL的是低八位。微动开关与控制信号对应关系见表(从左到右): 三、开关检测 红色微动开关是该实验系统使用寿命最短的器件,开关好坏的检测方法比较简单,用户将五个控制机器工作方式的开关置于“1XX000”,从左面其第二个和第三个的开关处于任意位置,然后将两个未动开关上的24小纽子依次置为1(开关拨到上方为1),看对应的指示灯是否亮,如果有一个或数个指示灯不亮,则一般是开关除了问题。 四、实验内容: 在脱机方式下,对于给定指令分析其执行过程中运算步骤,通过对AM2901运算器所需的控制信号的设置,使之完成运算,并核对运算结果。 五、实验步骤: 在脱机方式下,通过设置SW1,SW2,SW3各微码字段和数据开关,可实现多种运算。以实现AAAAH->R1为例说明操作过程。 1、按照下表中的微码和数据开关,对运算器的功能进行设置。操作步骤如下:

相关文档
最新文档